Tag Archives: letter-leds-business

North America-based manufacturers of semiconductor equipment posted $2.03 billion in billings worldwide in March 2017 (three-month average basis), according to the March Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

SEMI reports that the three-month average of worldwide billings of North American equipment manufacturers in March 2017 was $2.03 billion. The billings figure is 2.6 percent higher than the final February 2017 level of $1.97 billion, and is 69.2 percent higher than the March 2016 billings level of $1.20 billion.

“March billings reached robust levels not seen since March 2001,” said Dan Tracy, senior director, Industry Research and Statistics, SEMI. “The equipment industry is clearly benefiting from the latest semiconductor investment cycle.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)

Year-Over-Year

 October 2016

$1,630.4

20.0%

 November 2016

$1,613.3

25.2%

 December 2016

$1,869.8

38.5%

 January 2017

$1,859.4

52.3%

 February 2017 (final)

$1,974.0

63.9%

 March 2017 (prelim)

$2,026.2

69.2%

Source: SEMI (www.semi.org), April 2017

SEMI ceased publishing the monthly North America Book-to-Bill report in January 2017. SEMI will continue publish a monthly North American Billings report and issue the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions.

BY PETE SINGER, Editor-in-Chief

The ConFab — an executive conference now in its 13th year — brings together influential executives from all parts of the semiconductor supply chain for three days of thought-provoking talks and panel discussions, networking events and select, pre-arranged breakout business meetings.

Why the time is now: Electronics are an essential part of everyday life the world over. Smartphones, the Internet of Things, cloud computing, digital television, autonomous cars, advances in healthcare and so much more are possible only because of the advances in semiconductor technology over the last 50 years.

Although some say the industry is maturing, but the reality is that the industry will see explosive growth from a variety of applications, including the IoT, 5G telecommunication, autonomous driving, virtual and augmented reality, and artificial intelligence/deep learning. IoT alone is expected to drive not only a huge demand for sensors, but a far more sophisticated cloud computing infrastructure that will employ the most advanced logic and memory chips available, including 7 and 5nm logic devices and 3D NAND.

These new and varied applications, including healthcare, are creating new demands for semiconductor technology. Devices will require more innovative packaging solutions, including heterogeneous integration of diverse components. New advances in MEMS and sensors, will be required as well as new wireless capabilities, thin film batteries and energy harvesting devices, flexible electronics, power electronics, analog, and silicon photonics.

What can you expect in 2017? The ConFab 2017 conference program is designed to identify new opportunities in these fast-growing markets, showcase critical technology trends and discuss what challenges still need to be overcome. On Monday, we’ll hear where mainstream semiconductor technology is today through the keynote talk and the morning session. We will soon be announcing who these speakers will be, but past speakers include Brian Krzanich of Intel, Tom Caulfield of GlobalFoundries, Yoon Woon Lee of Samsung, Bill Chen of Nvidia, Roawen Chen of Qualcomm, Ali Sebt of Renesas and Wally Rhines of Mentor Graphics. Also on Monday, we’ll have a sure-to-be interesting panel session focused on heterogeneous integration and advanced packaging, starting with a talk from Jan Vardaman of TechSearch. Siemen’s Sia Langrudi is also slated to speak on Smart Manufacturing in the semiconductor industry, which will encompass the Industry 4.0 initiative, also known as the Industrial Internet of Things (IIoT).

On Tuesday, we’ll kick things off with a look at the growth opportunities in the automotive market, particularly the autonomous, self-driving car. We’ll follow that up with talks on MEMS and sensors by Kevin Shaw of Algorithmic Intuition and J.C. Eloy of Yole Developpement, and then a panel session that looks at the coming opportunities and changes in a range of diverse markets, including MEMS & Sensors, power electronics, biomedical, LEDs, displays and more. Panelists will include Laura Rothman Mauer of Veeco, David Butler of SPTS and Mike Rosa of Applied Materials.

On Wednesday, we’ll hear from Alissa Fitzgerald (A.M. Fitzgerald & Associates), followed by a talk on flexible electronics by Jason March, the director of technology at NextFlex. We’ll conclude with a market overview from Bill McClean of IC Insights, who is sure to give us an idea of what coming years will bring, the impact of industry consoli- dation and new growth opportunities.

The ConFab also includes well-attended evening recep- tions plus breakfasts, lunches and refreshment breaks. These offer exceptional networking opportunities for people to meet in a relaxed environment conducive to making lasting connections.

What is clear is that the need for real collaboration has never been greater. That’s why The ConFab 2017 is invaluable. In 2017, we are extending personal invitations to even more VIPs, and we expect heightened interest and involvement as industry leaders gather to tackle tough questions, take a look at the new growth opportunities and challenges, network in a unique environment and collaborate on the future. Check out our website at www. theconfab.com to see how you can participate.

With a combined 500 booths, the exhibitions and conferences of LED Taiwan, opening tomorrow in Taipei, will attract over 12,000 visitors. Organized by SEMI and the Taiwan External Trade Development Council (TAITRA), LED Taiwan will be held simultaneously with 2017 Taiwan International Lighting Show (TiLS), serving as a one-stop platform for exchanging and sourcing LED manufacturing and lighting technologies, offering opportunities to meet potential partners, industry elites, and over 150 leading companies from the LED supply chain. The event is held at TWTC Nangang Exhibition Hall April 12-15.

The four-day LED Taiwan showcases a wide range of technology innovations and solutions in the six pavilions ─ High-Brightness LED, LED components, LED Manufacturing Equipment and Material Pavilion, IR/UV, Laser, and Sapphire. Leading players in the areas of LED equipment, materials, components and packaging ─ like Aurora Optoelectronics, Crystalwise Technology, EPILEDS, EPISTAR, Evest Corporation, Lite-On, NAURA Microelectronics Equipment, RAPITECH, Sentec, TAIKKISO and Yellow Stone Corp ─ are showcasing their products in the exhibition to help local and foreign visitors understand the structure, manufacturing processes and technologies of Taiwan’s LED industry.

To enable innovation and energize the show floor, events at TechSTAGE will focus on three topics this year, with presenters from leading companies:

  • LED Manufacturing Equipment & Materials: Atom Semicon, Aurotek, Galaxy Technology Development, Materials Analysis Technology,  Monocrystal, and Sil-More Industrial,
  • LED Advanced Technologies: ALLOS Semiconductors, CORIAL, Epileds Technologies, EPISTAR, MIRDC,TAIKKISO, UTITECH Technology, and Yole Developpement
  • Smart Lighting & Automobile Lighting: Billion Electric, High Power Lighting, MacAdam, National Chung Cheng University, Osram Taiwan, and PlayNitride

In addition, the IR/UV Summit focuses on IR and UV technologies and application products, with the latest research and development findings. The Academia Poster Section includes 46 papers from experts.

More than 12,000 visitors from over 60 countries and leading manufacturers will convene at LED Taiwan 2017.  Business events, forums, networking sessions and meetings enable Taiwan exhibitors and attendees to expand connections and secure business opportunities by gathering leading members of global industrial and academic circles.

To gain insights into the latest technologies and opportunities, attend LED Taiwan (April 12-15). Please visit:  www.ledtaiwan.org/en/ (English) or www.ledtaiwan.org/zh/ (Chinese).

Ultratech, Inc. (Nasdaq: UTEK), a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HBLEDs), as well as atomic layer deposition (ALD) systems, today announced that it has received follow-on, multiple system orders from several outsourced semiconductor assembly and test (OSAT) companies in Taiwan, Korea and China. The AP300E lithography stepper will be used for leading-edge copper pillar and wafer-level packaging (WLP) in high-volume manufacturing (HVM). Ultratech plans to begin shipping the systems in Q2 and Q3 of this year.

Ultratech General Manager and Vice President of Lithography Products Rezwan Lateef stated, “OSATs are rapidly expanding their advanced packaging capabilities to capture the strong demand for copper pillar and fan-out package solutions. These customers look to their equipment suppliers to provide highly reliable, flexible, extendible and cost-effective solutions coupled with excellent application-specific knowledge. The AP300E lithography stepper delivers on all these aspects coupled with outstanding regional support. Ultratech believes that success in the OSAT market requires local, on-site support and has greatly expanded its presence (both in personnel and infrastructure) in the Asia Pacific region with a focus on TaiwanChina and Korea. These repeat, multiple system orders across the broad OSAT spectrum are a clear validation of our market leadership position and a strong statement of continued partnership from our customers.  We look forward to working with these valued customers to meet their current production needs and to develop the applications of tomorrow.”

The AP300 family of lithography systems is built on Ultratech’s customizable Unity Platform, delivering superior overlay, resolution and side wall profile performance and enabling highly-automated and cost- effective manufacturing. These systems are particularly well suited for copper pillar, fan-out, through-silicon via (TSV) and silicon interposer applications. In addition, the platform has numerous application-specific product features to enable next-generation packaging techniques, such as Ultratech’s award winning dual-side alignment (DSA) system, utilized around the world in volume production.

Ultratech, Inc. (Nasdaq: UTEK), a supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HB-LEDs), as well as atomic layer deposition (ALD) systems, this week announced that two China foundries placed follow-on orders for laser spike anneal systems. Ultratech’s LSA101 laser spike anneal systems will be used for 40- and 28-nm production. The LSA101 dual-beam tools were chosen over competing systems due to greater flexibility and capability for annealing with low overall thermal budgets. Ultratech plans to ship the LSA101 tools to the customers’ foundries to China in Q1 2017.

The low cost of 28nm planar technology continues to drive growth and numerous foundries are ramping capacity expansion to take advantage of the optimal performance-to-cost ratio at this geometry. Foundries in Asia are leveraging the value proposition offered at the 28-nm node to meet the strong demand for low-cost chips for mobile devices. The LSA101 dual-beam system is designed for advanced applications, such as gate stack formation, silicide or post-silicide anneal to deliver leading technology in a cost-effective solution. Cost-driven foundries value Ultratech’s LSA101 systems due to the impressive flexibility to meet requirements for today’s volume production at 40-nm, 28-nm, and extendibility to 14-nm, 10-nm and below nodes.

“These follow-on orders strengthen our dominant position for advanced millisecond anneal within the foundry market in China,” said Jim McWhirter, Ph.D., vice president and senior scientist, laser technology at Ultratech. “While we are currently working with these customers to ramp capacity for 40- and 28-nms, the LSA101 system has demonstrated extendibility for advanced FinFET nodes. As a result, building on our long-term relationships, we can effectively work with our customers using our LSA systems to support their planer device applications today with extendibility for their future FinFET device roadmaps. Ultratech’s product focus remains targeted at meeting customer requirements for their advanced millisecond annealing applications.”

Versum Materials, Inc. (NYSE: VSM), a materials supplier to the semiconductor industry, announced today that it would expand its manufacturing capacity at its Delivery Systems and Service (DS&S) headquarters in Allentown, Pennsylvania. To support customer demand and the growth in its DS&S business, new positions will be created for highly skilled technicians, engineers, quality control personnel, and manufacturing and support staff.

The timing of the expansion aligns with the 25th anniversary of manufacturing at the Allentown location. The 31,000-square-foot, state-of-the-art facility was established in 1992 as the Semiconductor Equipment Manufacturing Center (SEMC) of Air Products, which Versum Materials spun-off from in October 2016. The facility will be rebranded Vultee Street as part of this announcement.

The manufacturing capacity expansion will serve the semiconductor, LCD and LED markets around the globe with gas and chemical delivery equipment designed to meet their precise purity and safety requirements. This investment will increase the production of Versum Materials’ line of GASGUARD ultra-high purity specialty gas equipment and CHEMGUARD chemical delivery equipment.

Last year, Versum Materials increased capacity at its DS&S manufacturing location in Ansan, South Korea, where in addition to the above-mentioned equipment, it produces a line of GASKEEPER specialty gas equipment designed specifically for the region.

“We are excited about our prospects for growth in the industry and supporting our valued customers with state-of-the-art, high-purity equipment. We are enhancing our manufacturing capacity to keep pace with our customers’ increasing requirements for more flexibility and shorter lead times,” said Jeff White, vice president and general manager of DS&S.

The company expects the expansion of the Allentown facility to be complete this spring. A list of open positions can be found on the company’s career page.

Seoul Semiconductor announced the immediate start of the mass production of its LED chip-on-board package for use in LED filament bulbs, a market currently estimated to be $1.3 billion globally.

Mr. Ki-bum Nam, CTO of Seoul Semiconductor, said, “Based on its strong patents, Seoul Semiconductor will continue to increase its market share in the filament LED bulb market, which is a blue ocean market estimated at $1.3 billion.” He added: “We will widely promote the superiority of Seoul Semiconductor’s LED technology with its filament LEDs.”

Seoul Semiconductor’s filament LEDs can realize high-quality light close to natural light through differentiated chip-on-board (COB) packaging technology and can produce stunning emotional lighting with omnidirectional emission technology, combining a small footprint with a wide beam angle. The Color Rendering Index (CRI) is 80 or better and different LEDs having a flux between 105 and 210 lumens are available. All of them feature a Correlated Color Temperature (CCT) of 2,700 K. Therefore, they are used to create a classical atmosphere through high-quality light in many spaces, like cafes and hotels or even bed- and living rooms, making them an alternative to incandescent light bulbs that have been phased out in 2014.

Development of this technology at Seoul Semiconductor started already in the early 2000s, even before there was a market for filament-LEDs, but production was held until the market was now ready for them. This decision demonstrates the company’s clear long-term strategy and vision. Seoul Semiconductor now holds hundreds of patents for filament LEDs, covering chip manufacturing, COB-packaging, module and bulb manufacturing processes. These are all core technologies for manufacturing filament LED products and this portfolio leads to a strong IP protection of customers using Seoul Semiconductor’s filament LEDs.

An official at Seoul Semiconductor stated: “We are readying legal actions, as many of the LED bulbs released in the market have infringed our proprietary technologies.”

The global light bulb market is estimated at 7 billion pieces annually, of which 2.5 billion units are light bulbs for various decoration purposes, a market being addressed by the filament LEDs. The application of them in this market has started and they can not only be applied to globe bulbs in their various forms, but also to candle lamps in special shapes. These diverse applications are supported by two different lengths of the LEDs: 38 mm and 50 mm.

Picosun Oy, a provider of high quality Atomic Layer Deposition (ALD) technology, announced a collaboration with Osram Opto Semiconductors and other partners to create a new generation of advanced LED lighting solutions.

In order to keep spearheading the global LED market, it is vital for the manufacturers to constantly improve the performance and lifetime of their products. Picosun’s ALD technology is already in production use at various LED manufacturing sites, yet there are still various novel applications where its full potential is just waiting to be taken to industrial use.

In the project FLINGO, Picosun joins forces with Osram Opto Semiconductors, who is also the coordinator of the project, and several European top universities and R&D organizations to realize the next generation high-brightness and high-durability LEDs. The ability of Picosun’s ALD technology to create superb quality thin films inside ultra-high aspect ratio structures, over high steps, and on other topologically challenging surface details is in key role in this development. The leading film quality and purity, and the fast, cost-efficient mass manufacturing in fully automated batch ALD tools are other key assets where Picosun’s ALD solutions will accelerate the market entrance of the new LED products.

“We are very pleased to have Picosun as our project partner in FLINGO. We have full trust in their excellent ALD know-how, and that combining this with the specific expertise of our other project partners will result in exciting new technologies and make this a successful project,” states Dr. David O’Brien, project coordinator of Osram Opto Semiconductors.

“LED industry is definitely one of our key market segments. This is why we are very happy to collaborate with Osram, one of the global LED leaders, and our other project partners to enable disruptive, high performance LED technology to strengthens Europe’s position in the front row of worldwide LED business,” continues Juhana Kostamo, Managing Director of Picosun.

Entegris, Inc. (NASDAQ: ENTG), a developer in specialty chemicals and advanced materials handling solutions for the microelectronics industry, announced today it has introduced a new platform for advanced gas purification that improves wafer yields for semiconductor and LED applications. The new family of GateKeeper Gas Purification Systems (GPS) applies new purification media to provide best-in-class gas purity at a wide range of flow rates with a reduced equipment footprint. With expanded capacity in South Korea, Entegris now manufactures GateKeeper GPS systems in both North America and Asia.

“Our customers face unprecedented contamination control challenges to efficiently manufacture today’s increasingly complex leading-edge-devices. The new Gatekeeper GPS family of systems provide the advanced solutions required to maximize yield in these environments,” said Entegris Senior Vice President of Microcontamination Control, Clint Haris. “In conjunction with these new technology introductions, we continue our investments in our North America and Asia facilities to expand our global service and manufacturing capabilities.”

Semiconductor processes for advanced nodes, as well as LED manufacturing requirements, call for increased purity to remove defect-causing contaminants found in process gases. The GateKeeper GPS family of systems removes a variety of contaminants from gas streams down to the parts-per-trillion level and can be configured to a wide range of flow rates. Gases purified include CDA, N2, H2, H2 for EUV, Ar and NH3. Additionally, manufacturers are looking for tools that require significantly less sub-fab floor space. The reduced footprint allows customers to devote valuable sub-fab floor space to other tool needs or increase purification capacity with additional systems.

“We collaborated closely with our customers to identify purity requirements to meet their need to remove new contaminants and increased sensitivity to known contaminants,” said Director of Gas Purification Product Management, Jordan Ruple. “As a result, we are proud to be the first to offer this level of purity – in a variety of gases and flow rates – for systems of this size.”

The latest GateKeeper system will be on display during the SEMICON Korea trade show in Seoul, February 8-10, 2017.

Veeco Instruments Inc. (NASDAQ: VECO), a developer of advanced thin film etch and deposition process equipment, and Ultratech, Inc. (NASDAQ: UTEK), a supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs, today announced that they have signed a definitive agreement for Veeco Instruments Inc. (“Veeco”) to acquire Ultratech, Inc. (“Ultratech”). The Boards of Directors of both Veeco and Ultratech have unanimously approved the transaction.

Ultratech shareholders will receive (i) $21.75 per share in cash and (ii) 0.2675 of a share of Veeco common stock for each Ultratech common share outstanding. Based on Veeco’s closing stock price on February 1, 2017, the transaction consideration is valued at approximately $28.64 per Ultratech share. The implied total transaction value is approximately $815 million and the implied enterprise value is approximately $550 million, net of Ultratech’s net cash balance as of December 31, 2016. Post transaction it is projected that Ultratech shareholders will own approximately 15 percent of the combined company.

Ultratech is a recognized leader of lithography products for Advanced Packaging applications and for LEDs and is a pioneer for laser spike anneal technology used for the production of semiconductor devices. In addition, the company offers wafer inspection solutions leveraging its proprietary coherent gradient sensing (CGS) technology which address a wide variety of semiconductor applications.

“The strategic combination will establish Veeco as a leading equipment supplier in the high growth Advanced Packaging industry. Ultratech’s leadership in lithography together with Veeco’s Precision Surface Processing (PSP) solutions form a strong technology portfolio to address the most critical Advanced Packaging applications. We believe our complementary end market exposure and customer relationships will create the ideal platform to accelerate growth,” said John R. Peeler, Veeco’s Chairman and Chief Executive Officer. “Ultratech is a great fit with our strategy to profitably grow our business and diversify our revenue. We expect this transaction to be immediately accretive to adjusted EBITDA and non-GAAP EPS.”

Ultratech Chairman and Chief Executive Officer, Arthur W. Zafiropoulo said, “Both companies have a strong heritage of developing innovative and cutting-edge technologies. The combined company will create a formidable team to execute against growth opportunities and deliver significant value to customers and shareholders.”

Veeco expects to realize approximately $15 million in annualized run rate synergies within 24 months after closing, to be achieved through increased efficiencies and leveraging the scale of the combined businesses. The combined company is expected to have an efficient balance sheet, benefiting from the deployment of excess cash.

The transaction is expected to close in the second calendar quarter of 2017, subject to approval by Ultratech shareholders, regulatory approvals in the U.S. and other customary closing conditions.