Tag Archives: letter-leds-top

Within a highly competitive landscape due to a strong price pressure, most of the LED companies are looking for business opportunities and adopt different strategies of development. Vertical integration, product, application and activity diversification. New relays of growth are required for LED players to survive.

From a packaging point of view, more and more packaged LED manufacturers selected the vertical integration strategy to move towards the module level and add more and more value in their LED components.

Under the new report titled LED Packaging 2016: Market, Technology and Industry Landscape reportYole Développement (Yole) reviews the LED industry and market status. The “More than Moore” market research and strategy consulting company Yole, details process flows and related technologies in LED packaging. Yole proposes also a comprehensive analysis of the cost reduction and its impact at the LED packaging level.

According to Yole’s analysts, the packaged LED market represented a revenue of nearly US$15.7 billion in 2015. This industry should grow to a size of nearly US$18.2 billion by 2020.

led packaging revenue

Following the overcapacity caused by the recent LED TV crisis and the entry of Chinese players, industry consolidation was expected to decrease competition and stabilize price erosion. This eventually happened in China during 2014 and 2015, but with unforeseen effects on the overall industry. Indeed, several smaller players went bankrupt and many midsize players have since been acquired, leading to a situation where dozens of companies are having “going-out-of business” sales. This has triggered strong price decline and, naturally, other LED players had no choice but to match the price trend initiated by the Chinese industry.

ASP for low and mid power LEDs declined 30% – 40% in the second half of 2015. In parallel ASP for high power LEDs, though less affected, still declined 20% – 30%. Globally, 2015 was a rough year for the LED industry, with packaged LED revenue declining for the first time ever: from US$15.1 billion in 2014 to US$15 billion in 2015.

This decrease was emphasized by lower-than anticipated demand in the LED backlight and LED lighting markets. Moreover, strong evolution in currency exchange rates due to the US dollar’s rise contributed to many players’ declining revenue.

2016 has seen the industry begin recovering, and packaged LED ASPs have mostly stabilized for highly-commoditized stock keeping units like the low-power 2835 and mid-power 5630.

Higher power grades for lighting applications are seeing increasing demand, but also stiffer competition, which likely will lead to a significant ASP drop as competition intensifies.

“Thus we expect the packaged LED market to show moderate growth in the coming years, reaching US$18.5 billion in 2021 (CAGR 2016 – 2021: +3.4%)”, explains Pars Mukish, Business Unit Manager at Yole. 

LED packaging market is still a strong opportunity for materials suppliers. Indeed, LED packaging requires specific materials in agreement with application requirements.

Regarding packaging substrates, the high power density of devices induces the use of ceramic substrates, a market that will grow from nearly US$684 million in 2015 to US$813 million in 2021, according to Yole’s LED packaging report.

Encapsulant/optic materials will follow the same trend: Yole’s analysts announce US$400 million in 2015 and US$526 million in 2021. This market segment is driven mostly by the increased use of silicone material offering better reliability/lifetime than traditional epoxy material.

In parallel, with major YAG IP expiring from 2017, the phosphor market will face strong commoditization and price pressure. Consequently, market will only grow from nearly US$339 million in 2015 to US$346 million in 2021.

The LED packaging report (2016 edition) provides a comprehensive overview of all LED packaging aspects. Each step of the packaging process flow including equipment and materials used is described, along with associated trends. Associated technological breakthroughs are also analysed.

For the first time, researchers have created light-emitting diodes (LEDs) on lightweight flexible metal foil.

Engineers at The Ohio State University are developing the foil based LEDs for portable ultraviolet (UV) lights that soldiers and others can use to purify drinking water and sterilize medical equipment.

Nanowires were grown on titanium foil at The Ohio State University. CREDIT: Image by Brelon J. May, courtesy of The Ohio State University.

Nanowires were grown on titanium foil at The Ohio State University. Credit: Image by Brelon J. May, courtesy of The Ohio State University.

In the journal Applied Physics Letters, the researchers describe how they designed the LEDs to shine in the high-energy “deep” end of the UV spectrum. The university will license the technology to industry for further development.

Deep UV light is already used by the military, humanitarian organizations and industry for applications ranging from detection of biological agents to curing plastics, explained Roberto Myers, associate professor of materials science and engineering at Ohio State.

The problem is that conventional deep-UV lamps are too heavy to easily carry around.

“Right now, if you want to make deep ultraviolet light, you’ve got to use mercury lamps,” said Myers, who is also an associate professor of electrical and computer engineering. “Mercury is toxic and the lamps are bulky and electrically inefficient. LEDs, on the other hand, are really efficient, so if we could make UV LEDs that are safe and portable and cheap, we could make safe drinking water wherever we need it.”

He noted that other research groups have fabricated deep-UV LEDs at the laboratory scale, but only by using extremely pure, rigid single-crystal semiconductors as substrates–a strategy that imposes an enormous cost barrier for industry.

Foil-based nanotechnology could enable large-scale production of a lighter, cheaper and more environmentally friendly deep-UV LED. But Myers and materials science doctoral student Brelon J. May hope that their technology will do something more: turn a niche research field known as nanophotonics into a viable industry.

“People always said that nanophotonics will never be commercially important, because you can’t scale them up. Well, now we can. We can make a sheet of them if we want,” Myers said. “That means we can consider nanophotonics for large-scale manufacturing.”

In part, this new development relies on a well-established semiconductor growth technique known as molecular beam epitaxy, in which vaporized elemental materials settle on a surface and self-organize into layers or nanostructures. The Ohio State researchers used this technique to grow a carpet of tightly packed aluminum gallium nitride wires on pieces of metal foil such as titanium and tantalum.

The individual wires measure about 200 nanometers tall and about 20-50 nanometers in diameter–thousands of times narrower than a human hair and invisible to the naked eye.

In laboratory tests, the nanowires grown on metal foils lit up nearly as brightly as those manufactured on the more expensive and less flexible single-crystal silicon.

The researchers are working to make the nanowire LEDs even brighter, and will next try to grow the wires on foils made from more common metals, including steel and aluminum.

Using cutting-edge first-principles calculations, researchers at the University of California, Santa Barbara (UCSB) have demonstrated the mechanism by which transition metal impurities – iron in particular – can act as nonradiative recombination centers in nitride semiconductors. The work highlights that such impurities can have a detrimental impact on the efficiency of light-emitting diodes (LEDs) based on gallium nitride or indium gallium nitride.

This is a schematic illustration of Shockley-Read-Hall (SRH) recombination due to iron in GaN. Iron is a deep acceptor with a defect level (black line) close to the GaN conduction band (green). The charge density corresponding to this localized level is illustrated in the middle of the figure. Conventional SRH recombination (left) would proceed via electron capture from the conduction band into the defect level, but the overall rate would be limited by slow capture of holes because the defect level is far from the valence band (blue). The presence of excited states enhances the hole capture rate (right) such that the overall SRH recombination process becomes very efficient. Credit: Sonia Fernandez

This is a schematic illustration of Shockley-Read-Hall (SRH) recombination due to iron in GaN. Iron is a deep acceptor with a defect level (black line) close to the GaN conduction band (green). The charge density corresponding to this localized level is illustrated in the middle of the figure. Conventional SRH recombination (left) would proceed via electron capture from the conduction band into the defect level, but the overall rate would be limited by slow capture of holes because the defect level is far from the valence band (blue). The presence of excited states enhances the hole capture rate (right) such that the overall SRH recombination process becomes very efficient. Credit: Sonia Fernandez

For LEDs, high-purity material is essential to lighting technology, such as residential and commercial solid-state lighting, adaptive lighting for automobiles, and displays for mobile devices. Imperfections at the atomic scale can limit the performance of LEDs through a process known as Shockley-Read-Hall recombination. The operation of an LED relies on the radiative recombination of electrons and holes, which results in the emission of photons. Defects or impurities can act as a source of nonradiative recombination and prevent the emission of light, lowering the LED efficiency.

The UCSB researchers, in collaboration with researchers from Rutgers University, the University of Vienna, the KTH Royal Institute of Technology in Sweden and the Center for Physical Sciences and Technology in Lithuania, have identified that iron, even at concentrations less than parts-per-million, can be highly detrimental.

Transition metal impurities such as iron have long been known to severely impact devices based on traditional semiconductors such as silicon and gallium arsenide, leading these impurities to be referred to as “killer centers.” It is therefore surprising that little attention has been devoted to understanding the role of transition metals in recombination dynamics in GaN.

“A naïve application of Shockley-Read-Hall theory, based on an inspection of defect levels within the band gap, would lead one to conclude that iron in GaN would be harmless,” explained Dr. Darshana Wickramaratne, lead author on the paper. “However, our work shows that excited states of the impurity play a key role in turning it into a killer center.”

The UCSB scientists identified a recombination pathway by which iron can lead to severe efficiency loss. Sophisticated first-principles calculations were essential to identify and understand the role of the excited states in the recombination process.

“Taking these excited states into account completely changes the picture,” emphasized Dr. Audrius Alkauskas, another member of the research team. “We strongly suspect that such excited states play a key role in other recombination phenomena, opening up new avenues for research.”

The results highlight that strict control over growth and processing is required to prevent the unintentional introduction of transition metal impurities. Sources of iron contamination include the stainless steel reactors that are used in some growth techniques for nitride semiconductors.

“Increasing the efficiency of light emission is a key goal for the solid-state lighting industry,” said UCSB Materials Professor Chris Van de Walle, who led the research team. “Our work focuses attention on the detrimental impact of transition metals and the importance of suppressing their incorporation.”

Gallium nitride (GaN) has emerged as one of the most important and widely used semiconducting materials. Its optoelectronic and mechanical properties make it ideal for a variety of applications, including light-emitting diodes (LEDs), high-temperature transistors, sensors and biocompatible electronic implants in humans.

In 2014, three Japanese scientists won the Nobel Prize in physics for discovering GaN’s critical role in generating blue LED light, which is required, in combination with red and green light, to produce white LED light sources.

Now, four Lehigh engineers have reported a previously unknown property for GaN: Its wear resistance approaches that of diamonds and promises to open up applications in touch screens, space vehicles and radio-frequency microelectromechanical systems (RF MEMS), all of which require high-speed, high-vibration technology.

The researchers reported their findings in August in Applied Physics Letters (APL) in an article titled “Ultralow wear of gallium nitride.” The article’s authors are Guosong Zeng, a Ph.D. candidate in mechanical engineering; Nelson Tansu, Daniel E. ’39 and Patricia M. Smith Endowed Chair Professor in the Electrical and Computer Engineering department, and Director of the Center for Photonics and Nanoelectronics (CPN); Brandon A. Krick, assistant professor of mechanical engineering and mechanics; and Chee-Keong Tan ’16 Ph.D., now assistant professor of electrical and computer engineering at Clarkson University.

GaN’s electronic and optical properties have been studied extensively for several decades, said Zeng, the lead author of the APL article, but virtually no studies have been done of its tribological properties, that is, its resistance to the mechanical wear imposed by reciprocated sliding.

“Our group is the first to investigate the wear performance of GaN,” said Zeng. “We have found that its wear rate approaches that of diamonds, the hardest material known.”

Wear rate is expressed in negative cubic millimeters of Newton meters (Nm). The rate for chalk, which has virtually no wear resistance, is on the order of 10 2 mm3/Nm, while that of diamonds is between 10-9 and 10-10, making diamonds eight orders of magnitude more wear resistant than chalk. The rate for GaN ranges from 10¬-7 to 10-9, approaching the wear resistance of diamonds and three to five orders of magnitude more wear resistant than silicon (10-4).

The Lehigh researchers measured the wear rate and friction coefficients of GaN using a custom microtribometer to perform dry sliding wear experiments. They were surprised by the results.

“When performing wear measurements of unknown materials,” they wrote in APL, “we typically slide for 1,000 cycles, then measure the wear scars; [these] experiments had to be increased to 30,000 reciprocating cycles to be measurable with our optical profilometer.

“The large range in wear rates (about two orders of magnitude)…can provide insight into the wear mechanisms of GaN.”

That range in wear resistance, the researchers said, is caused by several factors, including environment, crystallographic direction and, especially, humidity.

“The first time we observed the ultralow wear rate of GaN was in winter,” said Zeng. “These results could not be replicated in summer, when the material’s wear rate increased by two orders of magnitude.”

To determine how the higher summer humidity was affecting GaN’s wear performance, the researchers put their tribometer in a glove box that can be backfilled with either nitrogen or humid air.

“We observed that as we increased the humidity inside the glove box, we also increased the wear rate of GaN,” said Zeng.

Zeng gave a presentation about the Lehigh project in October at the International Workshop on Nitride Semiconductors (IWN 2016) in Orlando, Florida. The session at which he spoke was titled “Wear of Nitride Materials and Properties of GaN-based structures.” Zeng was one of seven presenters at the session and the only one to discuss the wear properties of GaN and other III-Nitride materials.

Tansu, who has studied GaN for more than a decade, and Krick, a tribology expert, became curious about GaN’s wear performance several years ago when they discussed their research projects after a Lehigh faculty meeting.

“Nelson asked me if anyone had ever investigated the friction and wear properties of gallium nitride,” said Krick, “and I said I didn’t know. We checked later and found a wide-open field.”

Tansu said the group’s discovery of GaN’s hardness and wear performance could have a dramatic effect on the electronic and digital device industries. In a device such as a smartphone, he said, the electronic components are housed underneath a protective coating of glass or sapphire. This poses potential compatibility problems which could be avoided by using GaN.

“The wear resistance of GaN,” said Tansu, “gives us the opportunity to replace the multiple layers in a typical semiconductor device with one layer made of a material that has excellent optical and electrical properties and is wear-resistant as well.

“Using GaN, you can build an entire device in a platform without multiple layers of technologies. You can integrate electronics, light sensors and light emitters and still have a mechanically robust device. This will open up a new paradigm for designing devices. And because GaN can be made very thin and still strong, it will accelerate the move to flexible electronics.”

In addition to its unexpectedly good wear performance, said Zeng, GaN also has a favorable radiation hardness, which is an important property for the solar cells that power space vehicles. In outer space, these solar cells encounter large quantities of very fine cosmic dust, along with x-rays and gamma rays, and thus require a wear-resistant coating, which in turn needs to be compatible with the cell’s electronic circuitry. GaN provides the necessary hardness without introducing compatibility issues with the circuitry.

The Lehigh group has begun collaborating with Bruce E. Koel, a surface chemistry expert and professor of chemical and biological engineering at Princeton University, to gain a better understanding of the interaction of GaN and water under contact. Koel was formerly a chemistry professor and vice president for research and graduate studies at Lehigh.

To determine the evolution of wear with GaN, the group has subjected GaN to stresses by running slide tests in which the slide distance and the corresponding number of cycles are varied. The group then uses an x-ray photoelectron spectrometer (XPS), which can identify the elemental composition of the first 12 nanometers of a surface, to scan the unworn surface of the GaN, the scar created by the slide machine, and the wear particles deposited by the slide machine on either side of the scar.

The group plans next to use aberration-corrected transmission electron microscopy to examine the lattice of atoms beneath the scar. Meanwhile, they will simulate a test in which the lattice is strained with water in order to observe the variations caused by deforming energy.

“This is a very new experiment,” said Zeng. “It will enable us to see dynamic surface chemistry by watching the chemical reaction that results when you apply shear, tensile or compressive pressure to the surface of GaN.”

LED remains the dominant sapphire application in 2016. Overall, rates of usage in smartwatches have been disappointing and have decreased below 2015 levels. In parallel, smartphone display screen opportunities haven’t taken off. Within the highly competitive sapphire industry, players are chasing any opportunity to survive and optimize their cost structure. Prices seem to have reached bottom and stabilized after a rough ride over the last 12 months. After a dip in the second half of 2015, LED substrate demand has been growing strongly through 2016 and is now at record high levels, even triggering a limited shortage of high-quality 4″ materials and wafers. According to Yole Développement (Yole), the worldwide quarterly sapphire wafer consumption for LEDs has reached 28.5 million of TIE (Q3, 2016).

In its new report, Sapphire Market 2016: Substrates & Consumer Electronics Applications (September 2016, Yole Développement), Yole, the More than Moore market research and strategy consulting company, has analyzed the sapphire industry’s latest technology and market trends. Yole used a dedicated methodology based on both top-to-bottom and bottom-up approaches that included interviews across the entire value chain and a strong knowledge of the industry to review the status and prospects of sapphire technologies for LEDs, camera lenses, and fingerprint reader covers, as well as smartwatch and smartphone displays.

Once again this year, the consulting company collaborated with CIOE to present a powerful program at the International Forum on Sapphire Market & Technologies, 2nd edition (Shenzhen, China – Sept. 6 & 7, 2016 – Agenda). Sapphire industry leaders attended the conference and discussed the latest innovations and market challenges.

What is the status of the sapphire industry? After the 2014 crash, the episode with Apple, and GTAT’s bankruptcy, are there still some survivors? What are their today’s strategies? Beyond existing applications, could we expect emerging applications? Yole’s analysts offer you an overview of the current sapphire industry and announce 2017 trends.

The LED sector still has the highest demand for sapphire. However, Yole’s analysts confirm: the expected volumes cannot sustain the one hundred or so sapphire producers currently competing in the industry. As a consequence, some sapphire companies are leaving the most commoditized markets and shifting their development strategies toward niche markets with higher added-value such as medical, industrial, and military applications. Other business opportunities could materialize, including microLED arrays and other consumer applications. Meanwhile, lower quality production is being dumped on a large grey market serving a multitude of applications including optical, mechanical, industrial, watches, etc.

In Shenzhen, China, at the beginning of September, more than 100 executives gathered and discussed the sapphire industry’s status. With an impressive program including 18 presentations, multiple debates and networking sessions, the sapphire industry’s future was defined and analyzed by sapphire leaders. Yole and its partner CIOE collected good feedback from attendees and are already thinking about a 2017 session.

During this Forum, many relevant and exciting presentations took place, mainly focused on optimizing costs and identifying new markets. Dr. Eric Virey from Yole highlighted the sapphire industry, its latest technical and market trends with a special focus on emerging applications. (See Dr. Eric Virey presentation – 2nd Int. Forum on Sapphire Market & Technologies).

In the same session, leading sapphire manufacturers Monocrystal and Aurora Sapphire also reviewed their insights as key sapphire market players:

•  Mikhail Berest, VP of Sales at Monocrystal, detailed Monocrystal strategies: “The market is challenging not only for sapphire producers, but also for our customers. Our major focus is to strongly support our customers during this market storm by providing them with the highest quality product at a competitive price. We make this possible because Monocrystal’s sapphire is industry-leading due to its low internal stress and low etch pit density. This translates into longer LED lifetime and narrow wavelength distribution on our customers’ side…” (Full discussion on i-micronews, compound semi. news)

•  Xinhong Yang, VP & Technology Director, Aurora Sapphire, presented the latest technology innovations. He also focused his presentation on the future of the sapphire industry.

•  On the application side, Unionlight’s CTO, Huang XiaoWei, discussed military applications of sapphire in the last sapphire Forum session.

Reducing costs and improving quality were major topics discussed at the Forum. Fujian Jing’an Optoelectronics highlighted the importance of subsurface damages. Edouard Brunet, R&D Manager Grains & Powders Asia, Saint-Gobain High Performance Materials, introduced a 1-step polishing process with significant potential for cost reductions. Bernard Jones, VP of Technology & Product Development at Fametec, showed an innovative growth technology for large diameter LED wafers, and Ivan Orlov, Scientific Visual’s CEO, triggered extensive discussions after his presentation on automated ingot inspection and mapping equipment and standardization proposals.

“Once again, the International Forum on Sapphire Market & technologies brought together many players”,comments Jean-Christophe Eloy, President & CEO, Yole Développement. “It showed that in the difficult market environment we’ve experienced since late 2015, the industry needs to gather and exchange information in order to optimize ownership costs and enable new applications.”
Yole & CIOE’s sapphire Forum provided a great platform to stimulate discussion and new ideas with extensive networking opportunities for people and companies to find new partners for the next stage.

“The International Forum on Sapphire Market and Technologies is the key industry event for the main sapphire makers,” asserts Oleg Kachalov, CEO of Monocrystal.“For Monocrystal, it is a chance to meet long-term partners and experts and reach our customers with our new developments, which will allow them to strengthen their position in the LED market.”

“I was impressed by the quality of content presented at Yole & CIOE’s sapphire Forum 2016, which provided not only trend analysis but also deep insights”, says Margaret Connolly, VP of UBM Asia. “The event was well attended by the industry’s key decision makers. The collaboration between CIOE and Yole has been quite successful as the teams are committed to the common objective which is to support long term technology development and innovations. I look forward to attending the 2017 edition in Shenzhen.” UBM owns 100% of eMedia Asia, the majority owner of the annual CIOE.

What can we expect for 2017 and the years after?

Massive adoption of sapphire in display screens now seems unlikely. Many companies have partially or completely exited the industry over the last 12 months. Independent crystal growers in Korea such as DK-Aztek, OCI, and Unid LED have all stopped their sapphire activities. Historical players in Taiwan such as Tera-Xtal, Crystal Applied Technology or Procrystal appear to be on the verge of bankruptcy and U.S. leader Rubicon recently shut down its facility in Malaysia and exited the LED wafer market to refocus on the optical, industrial, and defense markets. But key players are still investing.

So, is there still hope for 2017? To answer that question, both Yole and CIOE are already working on a new sapphire Forum in 2017 in Shenzhen, China. Agenda & registration will be available soon. Stay tuned!

200mm fabs on the rise


October 11, 2016

One year after the debut of the industry’s first 200mm Fab Outlook report, SEMI has issued an October 2016 update, with the improved and expanded report forecasting 200mm fab trends out to 2020.  This extensive report features trends from 2009 to 2020, showing how 200mm fab activities and capacity have changed worldwide.  SEMI’s analysts updated information on almost 200 facilities, including new facilities and closures of existing facilities.

Examining 200mm capacity over the years, the highest level of 200mm capacity was recorded in 2007 and the lowest following this peak in 2009 (see figure). The capacity decline from 2007 to 2009 was driven by the 2008/2009 global financial crisis, which caused the closure of many facilities, and the transition of memory and MPU fabrication to 300mm fabs from 200mm.

Global_200mm_chart_700px

Since 2009, installed 200mm fab capacity has increased, and by 2020, 200mm capacity is expected to reach 5.5 million wafers per month (wpm), though still less than the 2007 peak.  According to SEMI’s data, by 2019, installed capacity will reach close to 5.38 million wpm, almost as high as capacity in 2006.  From 2015 to 2020, 200mm facilities are forecast to add 618,000 wpm net capacity. This increase is a combination of fabs adding capacity and fabs losing capacity

Two applications account for the growing demand for 200mm: mobile devices and IoT. Rising fab capacity from 2015 to 2020 will be driven by MEMS devices, Power, Foundry and Analog.  By region, the greatest increases in capacity are expected to be in China, Southeast Asia, Americas, and Taiwan. Another trend is also observed: 200mm fabs are increasing the capacity to provide process capability below 120nm. Higher capacity does not mean more fabs, but fewer, larger fabs. In fact, the number of fabs in 2020 is almost the same as the count seen in 2009.  So 2020 capacity heads toward industry highs while in comparison 2009 had the lowest levels off the 2007 peak.

The Global 200mm Fab Outlook to 2020, published by SEMI in October 2016, includes two files: a 92-page pdf file featuring trend charts, tables and summaries and an Excel file covering 2009 to 2020 detailing on quarterly basis and fab-by-fab developments.

Due to increasing capacity from China, South Korean LCD panel makers are quickly realizing that LCD displays profitability may eventually erode, due to growing capacity and price competition from China, so they are betting their future on organic light-emitting diode (OLED) displays. Because of lower profit margins and slowing market growth, the IT display category has become the first product line that LCD display manufacturers are quitting, according to IHS Markit (Nasdaq: INFO), a world leader in critical information, analytics and solutions.

Samsung Display was the first company to do so, selling a fifth generation (Gen 5) fabrication plant (fab) to a Chinese touch and module maker last year. In the future, more fab restructuring is expected, especially the facilities dedicated to making IT panels. 

“Brands like HP and Lenovo expected notebook panels to be in a surplus situation, and they were therefore keeping their panel inventories at very low levels,” said Jason Hsu, senior principal analyst, IHS Markit. “This shift from Samsung Display could cause some brands to experience panel shortages in the third quarter of 2016.”

BOE to possibly double its panel shipments this year

Samsung Display delivered 30 million notebook panels in 2015, according to the latest information from the IHS Markit Tablet and Notebook Display Market Tracker. With the company’s latest fab reorganization plan, notebook PC LCD panel shipments could fall to 12 million units in 2016 and to 4 million in 2017. There will be an 18 million-unit gap this year, which means brands might not be able to find other sources to keep up with production needs.

When reviewing the supply chain mix in the first quarter of 2016, it is clear that HP has been affected by these changes more than other companies, with shipments from Samsung Display down from 1.1 million units in first quarter to 350,000 units in the second quarter. However, HP has shifted its orders to other panel makers to secure enough panels for its production needs, for example, Innolux.

BOE is another panel maker benefitting from the exit of Samsung Display from this market. Panel shipments from BOE increased from 4.9 million units in the first quarter to 7.2 million in the second quarter. BOE is expected to grow its notebook business to more than 36 million units in 2017. BOE first began to supply panels for notebooks in 2009, and it has now become one of the largest IT panel suppliers. Furthermore, BOE has a Gen8 fab in Chongqing, China — near the world’s largest notebook production base. In fact, notebook panel shipments from the Chongqing fab are expected to grow quickly next year, thanks to the more efficient logistics.

Chinese and Taiwanese makers to increase unit shipments of premium panels 

LG Display and Samsung Display used to supply Apple with notebook panels; however, the fab re-organization — especially the reallocation of oxide capacity — has increased Apple’s concerns about a potential panel shortage and possible low yields. For this reason, Apple is expected to add another panel supplier for its new MacBook Pro, to diversify the risk from Samsung Display business changes. For its legacy MacBook Air line of notebook PCs, Apple is considering diversifying its supply chain to Chinese makers, which is the first time Apple will use LCD panels from China.

Samsung Display’s exit from the LCD display business has also affected the supply of wide-view-angle in-plane switching (IPS) and plane-to-line switching (PLS) displays. Samsung Display has been one of the major suppliers to offer wide-view-angle panels, and its shipment volume is second only to LG Display.

In order to source IPS and PLS panels, brands must find other sources to replace Samsung Display, after the company begins to reduce production. AUO is one of the qualified candidates, and apparently it is receiving more orders from notebook PC brands. AUO, Innolux and other Taiwanese manufacturers and BOE and other Chinese suppliers are all expanding IPS panels to respond to increasing panel requirements.

Solid State Technology announced today that its premier semiconductor manufacturing conference and networking event, The ConFab, will be held at the iconic Hotel del Coronado in San Diego on May 14-17, 2017. A 30% increase in attendance in 2016 with a similar uplift expected in 2017, makes the venue an ideal meeting location as The ConFab continues to expand.

    

For more than 12 years, The ConFab, an invitation-only executive conference, has been the destination for key industry influencers and decision-makers to connect and collaborate on critical issues.

“The semiconductor industry is maturing, yet opportunities abound,” said Pete Singer, Editor-in-Chief of Solid State Technology and Conference Chair of The ConFab. “The Internet of Things (IoT) is exploding, which will result in a demand for “things” such as sensors and actuators, as well as cloud computing. 5G is also coming and will be the key technology for access to the cloud.”

The ConFab is the best place to seek a deeper understanding on these and other important issues, offering a unique blend of market insights, technology forecasts and strategic assessments of the challenges and opportunities facing semiconductor manufacturers. “In changing times, it’s critical for people to get together in a relaxed setting, learn what’s new, connect with old friends, make new acquaintances and find new business opportunities,” Singer added.

Dave Mount

David Mount

Solid State Technology is also pleased to announce the addition of David J. Mount to The ConFab team as marketing and business development manager. Mount has a rich history in the semiconductor manufacturing equipment business and will be instrumental in guiding continued growth, and expanding into new high growth areas.

Mainstream semiconductor technology will remain the central focus of The ConFab, and the conference will be expanded with additional speakers, panelists, and VIP attendees that will participate from other fast growing and emerging areas. These include biomedical, automotive, IoT, MEMS, LEDs, displays, thin film batteries, photonics and advanced packaging. From both the device maker and the equipment supplier perspective, The ConFab 2017 is a must-attend networking conference for business leaders.

The ConFab conference program is guided by a stellar Advisory Board, with high level representatives from GLOBALFOUNDRIES, Texas Instruments, TSMC, Cisco, Samsung, Intel, Lam Research, KLA-Tencor, ASE, NVIDIA, the Fab Owners Association and elsewhere.

Details on the invitation-only conference are at: www.theconfab.com. For sponsorship inquiries, contact Kerry Hoffman at [email protected]. For details on attending as a guest or qualifying as a VIP, contact Sally Bixby at [email protected].

By Christian G. Dieseldorff, Industry Research & Statistics Group at SEMI (September 6, 2016)

SEMI’s Industry Research and Statistics group has published its August update of the World Fab Forecast report. The report has served the industry for 24 years, observing and analyzing spending, capacity, and technology changes for all front-end facilities worldwide, from high-volume to R&D fabs.  SEMI’s latest data show increasing equipment spending, reaching 4.1 percent YOY in 2016 and 10.6 percent in 2017. Figure 1 (below) shows a forecast of  -2 percent decline from 2H2015 to 1H2016 and an 18 percent increase from 1H2016 to. 2H2016.

Figure 1: Fab Equipment Spending by Quarter

Figure 1: Fab Equipment Spending by Quarter

The largest growth drivers for the industry are mobile devices (including devices using SSDs), automotive, and soon anticipated to be IoT, with these applications, in many cases, requiring 3D NAND and Logic 10nm/7nm.

The SEMI report indicates that the two industry segments leading to the biggest increase in 2H16 are Foundry (29 percent) and Memory (21 percent).  Growth in Memory is driven by a significant increase in 3D NAND spending in 2016. Comparing 2016 to 2017, Foundry growth remains quite steady, with a 14 percent increase in 2016 and 13 percent in 2017.

Companies like Samsung, Micron, Flash Alliance, Intel, and SK Hynix drive Memory growth with 3D NAND to an astounding 152 percent increase in 2016 and 29 percent in 2017. However, utilization of all this equipment is still low in 2016 but is expected to increase in 2017.

Looking at other product segments, DRAM equipment spending is expected to decline by 31 percent in 2016 and then recover slightly with 2 percent growth in 2017. Power devices also show strong growth with 25 percent in 2016 and 16 percent in 2017. The Analog segment will slump by -15 percent in 2016 but increase by 20 percent in 2017. Similarly, MPU will drop -20 percent in 2016 and then is expected to increase by 48 percent in 2017.

Comparing spending by region in 2016, SE Asia shows the largest growth, with 157 percent in 2016, driven mainly by 3D NAND (see Figure 2).

China, in third place for overall spending, shows 64 percent growth for 2016 primarily due to 3D NAND by non-Chinese companies, closely followed by Foundry companies. Although the largest spenders in China currently are overseas device companies, China-based chipmakers are starting to pick up investment activity.

Figure 2: Fab Equipment Spending by Region

Figure 2: Fab Equipment Spending by Region

By contrast, the largest growth rate in 2017 is in Europe/Mideast with about 60 percent which is mainly due to ramping of 10nm facilities. Korea is in second place for total spending, mainly driven by Samsung’s investment in DRAM and Flash. Japan in third place driven by Flash Alliance (3D NAND).

The World Fab Forecast report provides more detailed information by company and fab for construction spending, equipment spending and capacities by region and product type.  Since the last publication in May 2016, the SEMI research team has made over 330 changes to 300 facilities/lines. This includes 27 new records and 18 records closed.

For information about semiconductor manufacturing for the remainder of 2016 and in 2017, and for details about capex for construction projects, fab equipping, technology levels, and products, order the SEMI World Fab Forecast Report. The report, in Excel format, tracks spending and capacities for over 1,100 facilities including over 82 future facilities, across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.  Using a bottoms-up approach methodology, the SEMI Fab Forecast provides high-level summaries and graphs, and in-depth analyses of capital expenditures, capacities, technology and products by fab.

The SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment. Also check out the Opto/LED Fab Forecast. Learn more about the SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats

“The sapphire industry is still plagued by overcapacity and rapid price declines,” asserts Yole Développement (Yole) in its latest report Sapphire Applications & Market 2016: LED & Consumer Electronics. Demand for LED is increasing but will not provide enough volumes to sustain the close to one hundred sapphire makers competing in the market. Yole estimates that up to 30 companies have stopped sapphire-related activities over the last 18 months. The most prominent were OCI, DK-Aztek, HQC, Shangcheng etc. Many more have frozen most of their capacity and China counts dozens of “zombie” companies only alive by political will.

sapphire market

This autumn is showing a new interest for sapphire and its numerous applications. Under this context, the “More than Moore” market research and strategy consulting company presents its latest report entitled Sapphire Applications & Market 2016: LED & Consumer Electronics report.
Moreover, in collaboration with CIOE, Yole also announces the Sapphire Forum, 2nd edition: 2nd International Forum on Sapphire Market & Technologies, taking place in Shenzhen, China, on Sept. 6 & 7. More information & Registration.

Is there still a future for sapphire display covers? How much can LED demand sustain the industry? Is China going to completely dominate this industry? Save the date and learn more about the sapphire industry with Yole’s analysts.

“Capacity increased again over the last 12 months, although the pace is abating, thanks to a reduction in the number of new projects and significant attrition,” explains Dr Eric Virey, Senior Market and Technology Analyst, LED & Sapphire at Yole. And he adds: “But continuous excess supply combined with the significant drop in LED wafer demand in Q3 and Q4-2015 led to an acceleration of ASP decrease over the last 12 months. Prices for cores and wafers have dropped 50 to 70% over the last 2 years. Four inch wafers have been hard hit and 2” cores now sell for no profits, as a fall-off of 4” and 6” manufacturing and for the sole purpose of absorbing fixed cost.”

The 2” core market is disappearing as the LED industry transitions to larger diameters and optical wafers are now a captive market. Suppliers need to find new applications for the parts of the boules that are left over after extracting 4” or 6” cores. For now, those are often sold by the kg at low prices for the manufacturing of small optical and mechanical parts.

With strong price pressure and an increasing fraction of the market being captive, revenue of sapphire companies have dropped 20% in 2015 despite a volume increase of 20% across all applications.

Unless strong signals emerge soon to indicate that the display cover opportunity could finally materialize in 2017, many more companies will disappear within the next 12-18 months. While this situation is critical for many players, on the longer term, the market will finally be weeded out of its weakest players. The survivors could emerge stronger and the overall industry healthier. “Despite a slight reshuffle in the ranking, the top 5 companies by revenue in 2015 remained the same as in 2014. But 2 newcomers from China, TDG and JeShine appeared in the top 20,” asserts Eric Virey from Yole.

On the way to industry maturity, new applications such as µLED displays could emerge. While they won’t represent an opportunity of the same scale as display covers, they could offer nice upsides to the companies that can capture them.

In Yole’s sapphire report, a detailed analysis of company revenues per region and product type as well as the update on capacity for crystal growth, finished and PSS wafers with all major changes and information on dozens of existing and emerging players have been detailed. More information is available on i-micronews.com, LED reports section.