Tag Archives: letter-materials-business

Versum Materials, Inc. (NYSE: VSM), a materials supplier to the semiconductor industry, announced today that Edward “Ed” Shober has been appointed to the position of senior vice president of its Materials segment. Mr. Shober will be responsible for the company’s Process Materials (PM) and Advanced Materials (AM) global businesses, which produce specialty chemicals and materials utilized in the next generation of semiconductors and displays for smart devices, as well as high-purity, specialty gases used in the semiconductor manufacturing process. Mr. Shober has led the AM business since 2011 and has more than 20 years’ experience serving Versum Materials’ customers in the semiconductor industry.

“Ed will continue to support our culture of operating as an agile organization that is relentlessly focused on building on our global technology leadership and establishing a reputation for quality, safety and reliability,” stated Guillermo Novo, President and CEO of Versum Materials. “Because of Ed’s customer relationships, leadership, experience and technical capabilities, we are confident that’s Ed’s transition will be seamless for our customers and his industry knowledge will continue to be an invaluable asset to our team.”

Mr. Shober joined Air Products in 1994, leading engineering activities in the electronics engineering and electronics package plants organizations. In 1999, he was named vice president of engineering and operations for TRiMEGA, a joint venture between Air Products and Kinetic Systems providing turnkey solutions to semiconductor fabs, and served as TRiMEGA’s chief operating officer from 2001-2004. Mr. Shober went on to lead DA NanoMaterials, Air Products’ joint venture with DuPont, as its chief operating officer from 2004-2007 and chief executive officer from 2007-2010. In October 2011, he served as the director of Advanced Materials Integration, Electronics Division, until assuming leadership of Air Products’ Advanced Materials business. He previously served as the vice president of Advanced Materials for the Materials Technologies business of Air Products since 2012. Mr. Shober holds a Bachelor of Science degree in civil/structural engineering from Brown University.

North America-based manufacturers of semiconductor equipment posted $1.86 billion in billings worldwide in January 2017 (three-month average basis), according to the January Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

SEMI reports that the three-month average of worldwide billings of North American equipment manufacturers in January 2017 was $1.86 billion. The billings figure is 0.5 percent lower than the final December 2016 level of $1.87 billion, and is 52.3 percent higher than the January 2016 billings level of $1.22 billion.

“Global billings reported by the North American equipment makers begin the New Year at high levels,” said Denny McGuirk, president and CEO of SEMI. “We expect strong spending growth in 2017 based on investments in leading-edge memory and foundry fabs.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)

Year-Over-Year

August 2016

$1,709.0

8.4%

September 2016

$1,493.3

-0.1%

October 2016

$1,630.4

20.0%

November 2016

$1,613.3

25.2%

December 2016 (final)

$1,869.8

38.5%

January 2017 (prelim)

$1,860.3

52.3%

Source: SEMI (www.semi.org), February 2017

 

SEMI ceased publishing the monthly North America Book-to-Bill report in January 2017.  The decision to discontinue the Book-to-Bill report was based on changes in reporting by some participants where the reporting of orders/bookings into the data collection program is no longer considered a necessary component of their industry analysis.

SEMI will continue publish a monthly North American Billings report and issue the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. Beginning with the January 2017 WWSEMS report, bookings information will only be available for the back-end equipment segments of the industry.

FlexTech, a SEMI strategic association partner, today announced a contract with ITN Energy Systems of Littleton, CO to develop and produce a flexible, solid-state lithium battery reducing packaging bulk by integrating a thin, flexible ceramic substrate. The unique ceramic substrate material is produced by ENrG Inc. of Buffalo, NY and is technology licensed from Corning Incorporated. The project duration is 15 months with a total value of $1.5 million.

“This work will break new ground in flexible battery development and address the many challenges associated with this area,” notes Melissa Grupen-Shemansky, chief technology officer for FlexTech | SEMI.  “This technology is one of the most promising for multi-cell packages and infinitely-expandable battery components.”

“We look forward to working with FlexTech and the FlexTech Technical Council in developing this new approach to flexible power supplies which promises up to 10x the capacity with one-half the thickness of products currently in the market,” said Brian Berland, chief science officer for ITN Energy Systems. “Once completed, we believe that rapid market adoption of this product is highly likely, since power availability and management is a significant bottleneck to many innovative FHE products.”

This type of battery is widely sought by developers of flexible electronic printed devices, such as wearable and medical devices. Product goals include a size of no larger than 2″x 3″ x .01″ and up to a 500 mAh capacity.  This thin film approach to lithium batteries eliminates the liquid electrolytes which are part of the typical lithium-ion product, and has caused difficulties with heat dissipation and reliability of some products on the market. The benefits of placing these batteries on ceramic substrates include low water and oxygen transmission without adding packaging material, cost or thickness. An important part of the project is selecting a sealing material based on compatibility with the assembly process and the ultimate performance of the battery.

The global gallium arsenide (GaAs) components market is expected to grow at a CAGR of over 4% during the forecast period, according to Technavio’s latest report.

In this report, Technavio covers the market outlook and growth prospects of the global GaAs components market for 2017-2021. By end-users, this market is divided into mobile devices and wireless communications segments.

The global GaAs components market is expected to grow to USD 9.13 billion by 2021, with over 54% of the revenue being generated from the mobile devices segment. The quickly developing 3G and 4G networks are enabling the quick growth of the market segment.

The rising adoption of smartphones and tablets is acting as a major driving factor for this market, with number of smartphone shipments expected to hit 2 billion by 2020. This growth in number of shipments will drive the demand for GaAs components used in mobile handsets, particularly GaAs power amplifiers.

Technavio’s research study segments the global GaAs components market into the following regions:

  • APAC
  • Americas
  • EMEA

APAC: largest GaAs component market segment

“APAC is the global leader in the market, accounting for almost 78% of the total market revenue in 2016. The market dominance is primarily because of the high demand for GaAs components from communication device manufacturers in the region. Also, increasing demand for power applications, along with high-growth economies, is a major driver of the GaAs components market in the region,” says Sunil Kumar Singh, one of the lead analysts at Technavio for embedded systems research.

The increasing smartphone penetration in developing countries and rapidly developing wireless infrastructure are driving the high adoption of GaAs components in the region. Companies such as Samsung, LG, HTC, and Sony are investing heavily to launch better smartphones, which is compatible with 3G/4G technologies. These new-generation mobile phones integrate three to four times more power amplifiers when compared to previous generation smartphones, which means increased demand for GaAs components.

Technavio’s sample reports are free of charge and contain multiple sections of the report including the market size and forecast, drivers, challenges, trends, and more.

Americas: expansion of 4G networks driving GaAs components market the region

Analysts at Technavio forecast the Americas to showcase a CAGR of 4.31% during the forecast period, of which most of the growth will be driven by the expansion of 4G networks in the region. North America is witnessing rapid expansion of its 4G network to make an easier transition to the upcoming 5G network. Apple and Skyworks Solutions are among the biggest consumers of GaAs components for their application in mobile power amplifiers.

GaAs components also find wide application in radar and defense systems. Currently, the US Department of Defense(DoD) is investing significantly in GaAs components to improve the efficiency of its current radar applications. Additionally, GaAs components are expected to attract demand from the military sector, thereby boosting the revenue contribution from the region.

EMEA: high demand from the automotive industry

“The GaAs components saw maximum adoption from the thriving automotive industry in the region. The region will also invest in the adoption of LEDs for the general lighting and automotive sectors, all of which consume GaAs components. In the defense sector, UMS, an MMIC solution provider from the UK, creates a significant demand for GaAs components,” says Sunil.

The different domains of defense – radar, communication, and smart ammunition are supplied with designs done by UMS or their customers and are based on the UMS technology platform. However, this region will grow at a slower rate when compared to the other two segments as most semiconductor foundries and manufacturing units are present in APAC and the Americas.

The top vendors in the global GaAs market highlighted in the report are:

  • Skyworks Solutions
  • Qorvo
  • Broadcom

Air Products (NYSE:  APD) today announced it will increase nitrogen production to serve the growing demand of its existing customer in Pyeongtaek City, Gyeonggi Province, South Korea. It is Air Products’ second phase of capacity expansion to supply the semiconductor fab.

Air Products was awarded a major contract in 2015 for the supply of its industrial bulk gases and bulk specialty gas supply system. The company is undertaking a multi-phase expansion project involving multiple ultra high-purity nitrogen plants, hydrogen generators and a liquefier. In this phase, a second nitrogen plant will be built.

“We are pleased to bring additional nitrogen capacity to the semiconductor fab to support its  increasing demand,” said Kyo-Yung Kim, president of Air Products Korea. “Our latest expansion represents Air Products’ commitment to growing together with customers in the expanding region through continued investment. It will put us in an even stronger position to deliver our safe and reliable industrial gas solutions in a very cost-effective way.”

An integrated gases supplier for the global electronics industry, Air Products has more than 40 years of experience in the safe and reliable delivery of gases to a variety of markets, including some of the world’s biggest technology companies. Air Products is working with these industry leaders to develop the next generation of semiconductors and displays for tablets, computers and mobile devices.

Atomera Incorporated (NASDAQ: ATOM), a semiconductor materials and intellectual property licensing company focused on deploying its proprietary technology into the semiconductor industry, today announced a master R&D service agreement with TSI Semiconductors, a specialty foundry with ISO, Automotive and Industrial Class Certifications. Atomera will leverage its significant investments in Mears Silicon Technology™ (MST®), and the manufacturing capability of TSI to accelerate fab integration and shorten time to market for its More-than-Moore architectural and material innovation.

“As a developer of advanced semiconductor materials, Atomera is constantly seeking to provide better electronic performance by enhancing transistors with our quantum engineered material innovations,” said Scott Bibaud, Atomera President and CEO. “Our foundry agreement with TSI significantly cuts fab cycle times, allowing for faster product development, test, and integration, and should accelerate our time to market with both existing and new customers. I could not be more excited by the dramatic improvement in development time our relationship with TSI allows.”

“TSI’s Technology Development Services are a perfect fit for cutting edge semiconductor technology companies like Atomera,” said Bruce Gray, Chief Executive Officer at TSI. “Their strong IP portfolio of new semiconductor materials such as MST®, combined with our 200mm fabrication capabilities and our focus on custom solutions and commercialization services, forms a partnership that showcases our capabilities and fast tracks Atomera’s development.”

This partnership allows Atomera to execute cycles of learning 5 to 10 times faster as compared to the engineering evaluation process experienced at foundries or integrated device manufacturers currently testing MST®. As a result, adoption of Atomera’s technology in the industry can be significantly accelerated. With MST® technology, manufacturers can address their yield, power and performance challenges at a fraction of the cost of alternative approaches. Atomera breathes new life into semiconductor fabs by providing up to a full node of performance benefits to existing fab processes enabling significantly better performance in today’s electronics. Atomera’s patented material technology enables more efficient and better controlled current flow, leading to dramatic improvements in device performance and power efficiency.

Atomera will be holding meetings with customers, analysts, media and investors during the 2017 Consumer Electronics Show (“CES”) January 5-7, 2017 in Las Vegas at the Bellagio Hotel.

From the ground-breaking research breakthroughs to the shifting supplier landscape, these are the stories the Solid State Technology audience read the most during 2016.

#1: Moore’s Law did indeed stop at 28nm

In this follow up, Zvi Or-Bach, president and CEO, MonolithIC 3D, Inc., writes: “As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.”

#2: Yield and cost challenges at 16nm and beyond

In February, KLA-Tencor’s Robert Cappel and Cathy Perry-Sullivan wrote of a new 5D solution which utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

#3: EUVL: Taking it down to 5nm

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years, SEMI’s Deb Vogler reported in May.

#4: IBM scientists achieve storage memory breakthrough

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

#5: ams breaks ground on NY wafer fab

In April, ams AG took a step forward in its long-term strategy of increasing manufacturing capacity for its high-performance sensors and sensor solution integrated circuits (ICs), holding a groundbreaking event at the site of its new wafer fabrication plant in Utica, New York.

#6: Foundries takeover 200mm fab capacity by 2018

In January, Christian Dieseldorff of SEMI wrote that a recent Global Fab Outlook report reveals a change in the landscape for 200mm fab capacity.

#7: Equipment spending up: 19 new fabs and lines to start construction

While semiconductor fab equipment spending was off to a slow start in 2016, it was expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

#8: How finFETs ended the service contract of silicide process

Arabinda Daa, TechInsights, provided a look into how the silicide process has evolved over the years, trying to cope with the progress in scaling technology and why it could no longer be of service to finFET devices.

#9: Five suppliers to hold 41% of global semiconductor marketshare in 2016

In December, IC Insights reported that two years of busy M&A activity had boosted marketshare among top suppliers.

#10: Countdown to Node 5: Moving beyond FinFETs

A forum of industry experts at SEMICON West 2016 discussed the challenges associated with getting from node 10 — which seems set for HVM — to nodes 7 and 5.

BONUS: Most Watched Webcast of 2016: View On Demand Now

IoT Device Trends and Challenges

Presenters: Rajeev Rajan, GLOBALFOUNDRIES, and Uday Tennety, GE Digital

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

Chinese panel manufacturers shipped more than one million AMOLED (active-matrix organic light-emitting diode) smartphone displays for the first time in the third quarter of 2016. While the Chinese makers only make up less than 2 percent of the AMOLED smartphone panel market in terms of shipments, hitting the one million unit mark in a quarter shows significant improvements in their manufacturing technology, according to IHS Markit (Nasdaq: INFO).

According to the IHS Markit Smartphone Display Market Tracker, total shipments of AMOLED displays for smartphones set a new record of 101 million units in third quarter 2016. While Samsung Display continues to retain its dominant position with 99.7 million units, three Chinese panel makers — EverDisplay Optronics (EDO), Tianma Micro-electronics and Govisionox Optoelectronics — shipped 1.4 million units for the quarter, representing a sharp increase from the approximate 590,000 units in the previous quarter.

“Strong demand from Chinese smartphone brands, especially OPPO and Vivo, helped boosting overall AMOLED panel demand significantly,” said Terry Yu, principal analyst of small and medium displays for IHS Markit. “Many Chinese smartphone makers, such as Meizu, Gionee, Lenovo, Huawei and even Xiaomi, are planning to adopt AMOLED panels in their devices. This gives Chinese display suppliers a great opportunity to gain more orders, improve their mass production yield rate and enhance their product reliability.”

According to IHS Markit, AMOLED display penetration among Chinese smartphone brands is expected to increase from 8 percent in 2015 to 13.6 percent in 2016. However, due to the tight supply of AMOLED panels from Samsung Display, many domestic smartphone brands are turning to local Chinese panel makers. For example, after Xiaomi and Huawei failed to secure their orders of AMOLED panels from Samsung, they struck partnerships with EDO, the leading AMOLED panel suppliers in China, with the promise of mass production and product reliability. EDO, which started operating its Shanghai-based Gen 4.5 AMOLED fab in 2014, shipped one million units of AMOLED panels in the third quarter of 2016, up from 0.2 million units in the first quarter. Similarly, Tianma and Govisionox have also developed business relationships with ZTE as its secondary supplier of AMOLED smartphone displays.

“Chinese panel makers are still too small to threaten Samsung’s dominant position, but they still play an important role as a second or third source for major smartphone brands in China,” Yu said. “Furthermore, as Samsung Display shifts its focus to the flexible OLED, Chinese panel makers are expected to expand their shares in the rigid OLED panel market.”

Chinese_AMOLED_panel_shipments

Today, SEMI updated the World Fab Forecast report revealing that 62 new Front End facilities are expected to begin operation between 2017 and 2020. The report has been the industry’s trusted data source for 24 years ─ observing and analyzing spending, capacity, and technology changes for all front-end facilities worldwide.

The 62 facilities and lines range from R&D to high-volume fabs.  Most of the newly operating facilities will be volume fabs; only seven are R&Ds or Pilot facilities.

Between 2017 and 2020, 26 facilities and lines begin operation in China, about 42 percent of the worldwide total currently tracked by SEMI.  The Americas region follows with 10 facilities, and Taiwan with 9 facilities.

Fab-Dec-2016

By product type, 32 percent are foundries, 21 percent are Memory, 11 percent LED, then Power, MEMS, Logic, Analog, and Opto, in decreasing order.

Between 2017 and 2020, the World Fab Forecast indicates that five facilities are unconfirmed, 10 are planned, 11 are announced, 26 are in construction and 10 are equipping. These numbers include facilities and lines of all probabilities, including unconfirmed projects and projects which have been announced, but may have a low probability of completion.

The projects under construction, or soon to be under construction, will be key drivers in equipment spending for this industry over the next several years — with China expected to be the key spending market.

SEMI’s World Fab Forecast provides detailed information about each of these fab projects, such as milestone dates, spending, technology node, products, and capacity information. Since the last publication in August 2016, the research team has made 249 changes on 222 facilities/lines. The report, in Excel format, tracks spending and capacities for over 1,100 facilities, using a bottoms-up approach methodology, and provides high-level summaries and graphs, with in-depth analyses of capital expenditures, capacities, technology and products by fab. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment, while the SEMI Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses; also check out the Opto/LED Fab Forecast. Learn more about the SEMI fab databases at: www.semi.org/en/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats.

SEMI, the global industry association representing more than 2,000 companies in the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 8.7 percent to $39.7 billion in 2016, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition.  In 2017, another 9.3 percent growth is expected, resulting in a global semiconductor equipment market totaling $43.4 billion.

The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 8.2 percent in 2016 to total $31.2 billion. The assembly and packaging equipment segment is projected to grow by 14.6 percent to $2.9 billion in 2016 while semiconductor test equipment is forecast to increase by 16.0 percent, to a total of $3.9 billion this year.

For 2016, Taiwan and South Korea are projected to remain the largest spending regions, with China joining the top three for the first time. Rest of World (essentially Southeast Asia), will lead in growth with 87.7 percent, followed by China at 36.6 percent and Taiwan at 16.8 percent.

SEMI forecasts that in 2017, equipment sales in Europe will climb the most, 51.7 percent, to a total of $2.8 billion, following a 10.0 percent contraction in 2016. In 2017, Taiwan, Korea and China are forecast to remain the top three markets, with Taiwan maintaining the top spot even with a 9.2 percent decline to total $10.2 billion. Equipment sales to Korea are forecast at $9.7 billion, while equipment sales to China are expected to reach $7.0 billion.

The following results are given in terms of market size in billions of U.S. dollars:

2016-year-end