Tag Archives: letter-materials-top

Today, SEMI announced that 19 new fabs and lines are forecasted to begin construction in 2016 and 2017, according to the latest update of the SEMI World Fab Forecast report. While semiconductor fab equipment spending is off to a slow start in 2016, it is expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

Fab equipment spending ─ including new, secondary, and in-house ─ was down 2 percent in 2015. However, activity in the 3D NAND, 10nm Logic, and Foundry segments is expected to push equipment spending up to US$36 billion in 2016, 1.5 percent over 2015, and to $40.7 billion in 2017, up 13 percent. Equipment will be purchased for existing fabs, lines that are being converted to leading-edge technology, as well as equipment going into new fabs and lines that began construction in the prior year.

Table 1 shows the regions where new fabs and lines are expected to be built in 2016 and 2017. These projects have a probability of 60 percent or higher, according to SEMI’s data. While some projects are already underway, others may be subject to delays or pushed into the following year. The SEMI World Fab Forecast report, published May 31, 2016, provides more details about the construction boom.

new fab lines

Breaking down the 19 projects by wafer size, 12 of the fabs and lines are for 300mm (12-inch), four for 200mm, and three LED fabs (150mm, 100mm, and 50mm). Not including LEDs, the potential installed capacity of all these fabs and lines is estimated at almost 210,000 wafer starts per month (in 300mm equivalents) for fabs beginning construction in 2016 and 330,000 wafer starts per month (in 300mm equivalents) for fabs beginning construction in 2017.

In addition to announced and planned new fabs and lines, SEMI’s World Fab Forecast provides information about existing fabs and lines with associated construction spending, e.g. when a cleanroom is converted to a larger wafer size or a different product type.

In addition, the transition to leading-edge technologies (as we can see in planar technologies, but also in 3D technologies) creates a reduction in installed capacity within an existing fab. To compensate for this reduction, more conversions of older fabs may take place, but also additional new fabs and lines may begin construction.

For insight into semiconductor manufacturing in 2016 and 2017 with details about capex for construction projects, fab equipping, technology levels, and products, visit the SEMI Fab Database webpage and order the SEMI World Fab Forecast Report. The report, in Excel format, tracks spending and capacities for over 1,100 facilities including over 60 future facilities, across industry segments from Analog, Power, Logic, MPU, Memory, and Foundry to MEMS and LEDs facilities.

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

The current memory landscape spans from venerable DRAM to hard disk drives to ubiquitous flash. But in the last several years PCM has attracted the industry’s attention as a potential universal memory technology based on its combination of read/write speed, endurance, non-volatility and density. For example, PCM doesn’t lose data when powered off, unlike DRAM, and the technology can endure at least 10 million write cycles, compared to an average flash USB stick, which tops out at 3,000 write cycles.

This research breakthrough provides fast and easy storage to capture the exponential growth of data from mobile devices and the Internet of Things.

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM). This research breakthrough provides fast and easy storage to capture the exponential growth of data from mobile devices and the Internet of Things. In this photo, IBM scientist , Nikolaos Papandreou holds the PCM chip under a magnifying lens in his lab. (Credit: IBM Research)

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM). This research breakthrough provides fast and easy storage to capture the exponential growth of data from mobile devices and the Internet of Things. In this photo, IBM scientist , Nikolaos Papandreou holds the PCM chip under a magnifying lens in his lab. (Credit: IBM Research)

Applications 

IBM scientists envision standalone PCM as well as hybrid applications, which combine PCM and flash storage together, with PCM as an extremely fast cache. For example, a mobile phone’s operating system could be stored in PCM, enabling the phone to launch in a few seconds. In the enterprise space, entire databases could be stored in PCM for blazing fast query processing for time-critical online applications, such as financial transactions.

Machine learning algorithms using large datasets will also see a speed boost by reducing the latency overhead when reading the data between iterations.

How PCM Works 

PCM materials exhibit two stable states, the amorphous (without a clearly defined structure) and crystalline (with structure) phases, of low and high electrical conductivity, respectively.

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM). In this photo, the experimental multi-bit PCM chip used by IBM scientists is connected to a standard integrated circuit board. The chip consists of a 2 × 2 Mcell array with a 4- bank interleaved architecture. The memory array size is 2 × 1000 μm × 800 μm. The PCM cells are based on doped-chalcogenide alloy and were integrated into the prototype chip serving as a characterization vehicle in 90nm CMOS baseline technology. (Credit: IBM Research)

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM). In this photo, the experimental multi-bit PCM chip used by IBM scientists is connected to a standard integrated circuit board. The chip consists of a 2 × 2 Mcell array with a 4- bank interleaved architecture. The memory array size is 2 × 1000 μm × 800 μm. The PCM cells are based on doped-chalcogenide alloy and were integrated into the prototype chip serving as a characterization vehicle in 90nm CMOS baseline technology. (Credit: IBM Research)

To store a ‘0’ or a ‘1’, known as bits, on a PCM cell, a high or medium electrical current is applied to the material. A ‘0’ can be programmed to be written in the amorphous phase or a ‘1’ in the crystalline phase, or vice versa. Then to read the bit back, a low voltage is applied. This is how re-writable Blue-ray Discs* store videos.

Previously scientists at IBM and other institutes have successfully demonstrated the ability to store 1 bit per cell in PCM, but today at the IEEE International Memory Workshop in Paris, IBM scientists are presenting, for the first time, successfully storing 3 bits per cell in a 64k-cell array at elevated temperatures and after 1 million endurance cycles.

“Phase change memory is the first instantiation of a universal memory with properties of both DRAM and flash, thus answering one of the grand challenges of our industry,” said Dr. Haris Pozidis, an author of the paper and the manager of non-volatile memory research at IBM Research – Zurich. “Reaching 3 bits per cell is a significant milestone because at this density the cost of PCM will be significantly less than DRAM and closer to flash.”

To achieve multi-bit storage IBM scientists have developed two innovative enabling technologies: a set of drift-immune cell-state metrics and drift-tolerant coding and detection schemes.

More specifically, the new cell-state metrics measure a physical property of the PCM cell that remains stable over time, and are thus insensitive to drift, which affects the stability of the cell’s electrical conductivity with time. To provide additional robustness of the stored data in a cell over ambient temperature fluctuations a novel coding and detection scheme is employed. This scheme adaptively modifies the level thresholds that are used to detect the cell’s stored data so that they follow variations due to temperature change. As a result, the cell state can be read reliably over long time periods after the memory is programmed, thus offering non-volatility.

“Combined these advancements address the key challenges of multi-bit PCM, including drift, variability, temperature sensitivity and endurance cycling,” said Dr. Evangelos Eleftheriou, IBM Fellow.

The experimental multi-bit PCM chip used by IBM scientists is connected to a standard integrated circuit board. The chip consists of a 2 × 2 Mcell array with a 4- bank interleaved architecture. The memory array size is 2 × 1000 μm × 800 μm. The PCM cells are based on doped-chalcogenide alloy and were integrated into the prototype chip serving as a characterization vehicle in 90 nm CMOS baseline technology.

OpenPOWER 

At the 2016 OpenPOWER Summit in San Jose, CA, last month, IBM scientists demonstrated, for the first time, phase-change memory attached to POWER8-based servers (made by IBM and TYAN® Computer Corp.) via the CAPI (Coherent Accelerator Processor Interface) protocol. This technology leverages the low latency and small access granularity of PCM, the efficiency of the OpenPOWER architecture and the CAPI protocol. In the demonstration the scientists measured very low and consistent latency for 128-byte read/writes between the PCM chips and the POWER8 processor.

For more information on today’s announcement watch this video: https://youtu.be/q3dIw3uAyE8. Continue the conversation at @IBMResearch #3bitPCM.

At the Quantum Europe conference, taking place in Amsterdam, Belgian’s nanoelectronincs research center imec announced today that it is ramping-up its R&D activities focused on quantum computing. Imec will implement qubits and supporting nanoelectronic functionality for quantum computing,leveraging its advanced silicon (Si) platform that was established within the framework of its industrial affiliation program with additional support from the EU through e.g. ECSEL projects SENATE and TAKE-5.

Widely seen as a possible solution to complex computing problems which are intractable on classical computers, quantum computing uses quantum physics to create and manipulate quantum states within electronic devices (qubits) to enhance the performance over that of existing, ‘classical’ approaches. Of the many device proposals for qubit implementation, the ones compatible with existing Si technology will provide the most viable solution for interfacing with the outside world.

The goal of imec’s initiative is to establish a bridge between the most advanced transistor technology and emerging quantum technology options, representing a natural extension of imec’s Si platform. This will ensure routes to demonstrate the quantum computing functionality compatible with industries’ platform technologies. Assuming a key position in the quantum technologies ecosystem, imec will support the transition of new quantum technologies, from the physics lab to technology feed into the supply chain. Imec’s platform will help translate laboratory demonstrators into commercial products. It will be open for universities, SMEs and industrial partners of imec’s quantum technologies programs.

“The coming decades will be characterized by a wave of quantum technology based applications, ranging from communication, simulation and sensing, to computation. However, to enable this, the industry will need technical support to adopt and to integrate these new technologies into products and services,” stated Jo De Boeck, CTO at imec. “Imec’s industry relevant Si platform for the advanced technology nodes, is currently used to screen technology options for the 5nm nodes and beyond. The same platform is hence the ideal basis to start implementing quantum devices as quantum effects are becoming the starting point of developing a quantum platform.”

Worldwide silicon wafer area shipments increased during the first quarter 2016 when compared to fourth quarter 2015 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,538 million square inches during the most recent quarter, a 1.3 percent increase from the 2,504 million square inches shipped during the previous quarter. However, new quarterly total area shipments are 3.8 percent lower than first quarter 2015 shipments.

“After two quarters of negative silicon shipment volume growth, the increase in silicon volume shipments in the most recent quarter is encouraging,” said Dr. Volker Braetsch, chairman SEMI SMG and senior vice president of Siltronic AG. “It remains to be seen if silicon shipment volumes will exceed the record amount shipped last year.”

Quarterly Silicon* Area Shipment Trends

Millions of Square Inches

1Q-2015

4Q-2015

1Q-2016

Total

2,637

2,504

2,538

Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers and epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

By Debra Vogler, SEMI

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years. Though its production insertion target has slipped over the years, some say that the industry is getting closer to its introduction at the 5nm node. But it’s also true that some may be hedging their bets.

Whatever camp you fall into, the discussion is sure to be lively as a team of experts tackles the status of advanced lithography options that can get the industry from node 10 to node 5 (session “Lithography: Charting a Path, or Paths, between Nodes 10 and 5”, part of the Advanced Manufacturing Forum) at SEMICON West 2016 (July 12, 10:30am-12:30pm). Confirmed speakers for this event include Robert Aitken (ARM), Stephen Renwick (Nikon Research Corporation of America), Ben Rathsack (TEL), Mike Lercel (ASML), Mark Slezak (JSR Micro, Inc.), and Harry Levinson (GLOBALFOUNDRIES). The session will be moderated by Lithoguru’s Chris Mack. SEMI interviewed some of the session speakers to get a preview of the issues most likely to be addressed.

Equipment status

Mike Lercel, director of product marketing at ASML, told SEMI that his company is very confident that EUVL will be ready for next-generation nodes, having demonstrated progress on the NXE:3350B, which is intended for volume production: achieving 1,368 wafers per day at the ASML factory, and excellent imaging and overlay performance at >80W. He further noted that the company’s logic customers will take EUV into production in 2018-2019, so it needs to ship in volume a year before — likewise for DRAM. “We believe that EUV is cost-competitive around 1,500 good wafers per day, but the crossover point may be lower depending on the customer and the application.”

Having already achieved the productivity milestone of 1,368 wafers per day makes EUVL cost-competitive or break-even for many applications, said Lercel, primarily because multiple patterning is becoming too difficult and EUV is needed to reduce this complexity. “Additionally, we’ve exposed more than 300,000 wafers on multiple NXE:3300 scanners at customer sites and that has accelerated our rates of learning. A 125W EUV source setting has been qualified and is ready for field rollout, and we demonstrated 200W source power at ASML.” He also noted that the company has a robust EUVL product roadmap, including a high-NA EUV scanner, which will take it into the next decade and beyond. “As long as the industry continues to scale and we are not close to reaching devices’ physical limits, there will be a need for EUV.”

Lercel acknowledged that EUVL productivity must continue to be improved and throughput is closely connected to source power and tool reliability. “We’ve derived new understandings from plasma modeling and computational lithography that have enabled us to significantly increase our conversion efficiency,” said Lercel. “This was a key contributing factor in our latest 200W achievement and builds confidence in our ability to reach 250W by the end of the year, which is the source power required for 1,500 wafers per day.”

Materials and infrastructure for EUVL

There are still a number of challenges remaining for the infrastructure needed to support EUVL. Among them are actinic inspections for blanks and resists. “Deposition tools and post-pellicle mask inspection must catch up to support EUVL,” said Lercel, who told SEMI that notable progress has already been made on E-beam mask inspection high-volume manufacturing (HVM) tools and on an actinic blank inspection tool development program led by the EUVL Infrastructure Development Center (EIDEC).

In other developments reported by Lercel, Zeiss is working on an AIMS tool for defect disposition; and at imec’s EUV Resist Manufacturing & Qualification Center (EUV RMQC), the industry-wide manufacturing infrastructure and quality control capabilities needed to take EUVL into HVM are being finalized. Other R&D efforts are continuing to improve EUV blank quality process and yield — defects are now reaching single digits said Lercel. ASML is also in the process of commercializing a pellicle. Significant gaps still exist with respect to a blank multi-layer deposition tool that needs to have improved defect results. “Multiple deposition techniques are being evaluated to define the HVM tool approach,” said Lercel. “And post-pellicle mask inspection (APMI) is not on timeline for insertion,” so the industry needs other options.

Regarding EUVL resists, Mark Slezak, executive vice-president, at JSR Micro, Inc., told SEMI that short-term, the materials industry is continuing to evolve and improve chemically amplified systems that are allowing technical requirements to be met at 7nm (see Figure 1 for examples of recent performance data). “Longer term, the industry is focused on new alternative approaches to chemically amplified systems with a variety of techniques, including molecular resists, nano-particles, and advanced sensitizers,” said Slezak, who will also present at SEMICON West 2016. “Additionally, in the case of both 193i and EUV, the material industry is working on post-development solutions, such as chemical shrink, pattern collapse mitigation, and combinations with DSA (directed self-assembly) that enable further imaging extensions.”

Figure 1: Examples of recent progress in patterning materials.  Source: ASML, PSI, and imec

Figure 1: Examples of recent progress in patterning materials.
Source: ASML, PSI, and imec

As a company, JSR Micro is preparing to provide scaled-up EUV materials in a HVM setting, including advanced quality control, as early as the end of 2016, Slezak told SEMI. “However, we see that the most likely insertion point for significant volumes is in the 2018 time period.”

Overall outlook

Chris Mack summed up the industry’s current dilemma with respect to EUVL and getting from node 10 to node 5. “The whole idea of continuing on the Moore’s Law progression is to reduce the cost of a transistor by shrinking it,” Mack told SEMI. “We’ve seen a flattening of the cost/transistor trends over time lately, and I think there are some serious questions as to whether or not any specific new technology node from 10nm on will actually result in a lower cost/transistor — and if it doesn’t, there won’t be much motivation for designs to migrate to these nodes.”

Mack further observed that the cost of lithography already accounts for more than 50% of the cost of making a chip, and possibly even as high as 70% depending on the design. “As those costs escalate with each node, we worry that the cost savings won’t be enough to compensate for the higher design costs.” Citing conventional wisdom, Mack noted that the rule-of-thumb with respect to the break-even point for deciding to use EUVL is that it has to be able to cost-effectively replace three 193nm immersion steps (or masks). While there are a lot of assumptions that go into the cost-of-ownership models, Mack explained that if throughput levels can get to around 60-90wph, that would make one EUV layer cost-competitive with three 193nm immersion exposures. “I think most people agree that EUV would then be worthwhile to do. The hope is to be able to do that at the 5nm node.”

Aside from the actual technical challenges that remain to be solved before EUVL can be inserted into HVM, the major hurdle is time. “People are planning the 7nm logic node right now,” said Mack, “and no one is willing to commit to EUV for 7nm because it’s not ready.” He further explained that TSMC has said publicly it plans to exercise EUV in parallel with 193i manufacturing for the 7nm node and then implement EUV in manufacturing at the 5nm node. That would place it at around the 2020 time frame. “If EUV hits its schedule between now and 2018/2019, then we may see TSMC commit to using EUV at 5nm.” Conversely, if the EUV schedule slips and is still too risky to implement, then when 2019 comes around, it could very well be that EUVL will be pushed out even further. “Because foundries have to accept design rules about two years before manufacturing begins, and because the design rules for multiple-patterning 193 immersion are very different from single-patterning EUV, TSMC and other foundries will have to make their call about two years from now.”

For DRAM, Mack says there is still a desire for EUV to be successful, but the window is rapidly disappearing. “We might see more chip stacking as a solution going forward for DRAM,” said Mack, but “then we could see 193nm immersion SADP (single immersion double-patterning) for 20nm DRAM.” Below 20nm DRAM, If EUV isn’t ready, Mack says that chip stacking would be the solution, which leaves EUV for logic, primarily at 5nm.

“Here’s where an interesting phenomenon happens,” Mack told SEMI. “The classic view of Moore’s Law — a doubling of the number of components on a chip every two years — has been carrying on for over 50 years. Current trends are redefining the meaning of Moore’s Law (see Figure 2).”

The industry is seeing a slow-down in, i.e., 3-year cycles instead of 2-year cycles. “If that trend continues and EUV is late, that would give some breathing room for EUV to catch up. So it might be ready in time for the 5nm node.”

Figure 2: Moore’s Law trend. Courtesy: Chris Mack

Figure 2: Moore’s Law trend. Courtesy: Chris Mack

These speakers and more will present at SEMICON West 2016 (July 12-14) in San Francisco, Calif. The new SEMICON West offers eight forums: Extended Supply Chain, Advanced Manufacturing Chain Forum, Advanced Packaging Forum, Test Forum, Sustainable Manufacturing Forum, Silicon Innovation Forum, Flexible Hybrid Electronics Forum, and World of IoT Forum. Register before June 3 and save $50.

Climate change due to excessive CO2 levels is one of the most serious problems mankind has ever faced. This has resulted in abrupt weather patterns such as flood and drought, which are extremely disruptive and detrimental to life, as we have been witnessing in India in recent years. Mitigating rising CO2 levels is of prime importance. In a new development, scientists at the Tata Institute of Fundamental Research, Mumbai, have developed a novel design of CO2 sorbents that show superior CO2 capture capacity and stability over conventional materials.

Novel functionalized nanomaterials for CO2 capture. Credit: Copyright Royal Society of Chemistry (RSC). Ref: Polshettiwar et al. Chemical Science, 2012, 3, 2224-2229

Novel functionalized nanomaterials for CO2 capture. Credit: Copyright Royal Society of Chemistry (RSC). Ref: Polshettiwar et al. Chemical Science, 2012, 3, 2224-2229

The immobilization of functional amines on a porous solid support can result in stable and efficient CO2 sorbent materials compared to similar liquid sorbents. A critical disadvantage however, is a drastic decrease in the textural properties of these supports (i.e., their surface area and pore volume), leading to a decrease in the CO2 capture capability.

To overcome this challenge, scientists at TIFR Mumbai, have designed novel functionalised nanomaterials that allows higher amine loading with a minimal decrease in surface area.

“Our fibrous nanosilica (KCC-1) should be a good candidate for use as a support to design efficient CO2 sorbents that would allow better capture capacity, kinetics and recylability”, says Dr Vivek Polshettiwar, the lead scientist of this study. A unique feature of KCC-1 is its high surface area, which originates from its fibrous morphology and not from its mesoporous channels (unlike in other well studied materials like SBA-15 or MCM-41). This study, published recently in the Journal of Materials Chemistry A, demonstrates the usefulness of the fibrous morphology of KCC-1 compared to conventional ordered mesoporous silica. This work is in continuation of the teams efforts to develop sustainable catalysts and sorbents.

The KCC-1-based sorbents showed several advantages over conventional silica-based sorbents, including i) high amine loading, ii) minimum reduction in surface area after functionalization and iii) more accessibility of the amine sites to enhance CO2 capture efficiency (i.e., capture capacity, kinetics and recyclability), due to the fibrous structure and high accessible surface area of KCC-1.

The demand for such efficient sorbents is on the rise since CO2 capture is one of the best solutions to mitigate the rising levels of CO2. Solid sorbents exhibit better efficiency with greater potential to overcome the shortcomings of liquid sorbents. The use of mesoporous silica materials functionalized with various amino groups is well reported. Although materials like SBA-15 and MCM-41, for example, have attracted significant attention because their large pore sizes can accommodate a variety of amine molecules and the high surface area allows for a higher loading of these functional molecules, they suffer from the disadvantages of a decrease in textural properties, thus making KCC-1 a suitable candidate for more efficient CO2 capture.

Materials researchers at North Carolina State University have developed a new technique to deposit diamond on the surface of cubic boron nitride (c-BN), integrating the two materials into a single crystalline structure.

“This could be used to create high-power devices, such as the solid state transformers needed to create the next generation ‘smart’ power grid,” says Jay Narayan, the John C. Fan Distinguished Chair Professor of Materials Science and Engineering at NC State and lead author of a paper describing the research.

“It could also be used to create cutting tools, high-speed machining and deep sea drilling equipment,” Narayan says. “Diamond is hard, but it tends to oxidize, transforming into graphite – which is softer. A coating of c-BN would prevent oxidation. Diamond also interacts with iron, making it difficult to use with steel tools. Again, c-BN would address the problem.”

C-BN is a form of boron nitride that has a cubic crystalline structure. It has similar properties to diamond, but holds several advantages: c-BN has a higher bandgap, which is attractive for use in high-power devices; c-BN can be “doped” to give it positively- and negatively-charged layers, which means it could be used to make transistors; and it forms a stable oxide layer on its surface when exposed to oxygen, making it stable at high temperatures. Earlier this year, Narayan unveiled a faster, less expensive technique for creating c-BN.

To create the epitaxial, or single crystal, diamond/c-BN structures, the researchers begin by creating a substrate of c-BN. This is done using the new technique Narayan published earlier this year. They then use a process called pulse-laser deposition – which is done at 500 degrees Celsius and an optimized atmospheric pressure – to deposit diamond on the surface of the c-BN. The pulse-laser technique allows them to control the thickness of the diamond layer.

“This is all done in a single chamber, making the process more energy- and time-efficient,” Narayan says. “You use only solid state carbon and BN, and it’s more environmentally benign than conventional techniques.”

The researchers were also able to deposit diamond on the c-BN using the conventional chemical vapor deposition technique, which utilizes methane gas, hydrogen gas and a tungsten filament at 900 °C.

“The chemical vapor deposition approach works, but our pulsed laser deposition approach works much better, doesn’t involve toxic gases, and can be done at much lower temperatures,” Narayan says.

Narayan has co-founded a company, Q-Carbon LLC, which has licensed the technique and is working to commercialize it for multiple applications.

The Semiconductor Industry Association (SIA) this week announced worldwide sales of semiconductors reached $26.1 billion for the month of March 2016, a slight increase of 0.3 percent compared to the previous month’s total of $26.0 billion. Sales from the first quarter of 2016 were $78.3 billion, down 5.5 percent compared to the previous quarter and 5.8 lower than the first quarter of 2015. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Global semiconductor sales increased in March for the first time in five months, but soft demand, market cyclicality, and macroeconomic conditions continue to impede more robust growth,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Q1 sales lagged behind last quarter across nearly all regional markets, with the Americas showing the sharpest decline.”

Regionally, month-to-month sales increased in Japan (4.8 percent), Asia Pacific/All Other (2.3 percent), and Europe (0.1 percent), but fell in China (-1.1 percent) and the Americas (-2.8 percent). Compared to the same month last year, sales in March increased in Japan (1.8 percent) and China (1.3 percent), but decreased in Asia Pacific/All Other (-6.4 percent), Europe (-9.8 percent), and the Americas (-15.8 percent).

“Eighty-three percent of U.S. semiconductor industry sales are into markets outside the U.S., so access to overseas markets is imperative to the long-term strength of our industry,” Neuffer said. “The Trans-Pacific Partnership (TPP) is a landmark trade agreement that would tear down myriad barriers to trade with countries in the Asia-Pacific. The TPP is good for the semiconductor industry, the tech sector, the American economy, and the global economy. Congress should approve it.”

March 2016

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.03

4.89

-2.8%

Europe

2.66

2.67

0.1%

Japan

2.47

2.59

4.8%

China

8.02

7.93

-1.1%

Asia Pacific/All Other

7.83

8.01

2.3%

Total

26.02

26.09

0.3%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.81

4.89

-15.8%

Europe

2.96

2.67

-9.8%

Japan

2.55

2.59

1.8%

China

7.83

7.93

1.3%

Asia Pacific/All Other

8.57

8.01

-6.4%

Total

27.70

26.09

-5.8%

Three-Month-Moving Average Sales

Market

Oct/Nov/Dec

Jan/Feb/Mar

% Change

Americas

5.75

4.89

-15.0%

Europe

2.77

2.67

-3.6%

Japan

2.57

2.59

0.8%

China

8.45

7.93

-6.1%

Asia Pacific/All Other

8.08

8.01

-0.8%

Total

27.62

26.09

-5.5%

Year-to-year percent change in world semiconductor revenues over the past 20 years.

Year-to-year percent change in world semiconductor revenues over the past 20 years.

Two-dimensional phosphane, a material known as phosphorene, has potential application as a material for semiconducting transistors in ever faster and more powerful computers. But there’s a hitch. Many of the useful properties of this material, like its ability to conduct electrons, are anisotropic, meaning they vary depending on the orientation of the crystal. Now, a team including researchers at Rensselaer Polytechnic Institute (RPI) has developed a new method to quickly and accurately determine that orientation using the interactions between light and electrons within phosphorene and other atoms-thick crystals of black phosphorus.

Phosphorene–a single layer of phosphorous atoms–was isolated for the first time in 2014, allowing physicists to begin exploring its properties experimentally and theoretically. Vincent Meunier, head of the Rensselaer Department of Physics, Applied Physics, and Astronomy and a leader of the team that developed the new method, published his first paper on the material–confirming the structure of phosphorene–in that same year.

“This is a really interesting material because, depending on which direction you do things, you have completely different properties,” said Meunier, a member of the Rensselaer Center for Materials, Devices, and Integrated Systems (cMDIS). “But because it’s such a new material, it’s essential that we begin to understand and predict its intrinsic properties.”

Meunier and researchers at Rensselaer contributed to the theoretical modeling and prediction of the properties of phosphorene, drawing on the Rensselaer supercomputer, the Center for Computational Innovations (CCI), to perform calculations. Through the Rensselaer cMDIS, Meunier and his team are able to develop the potential of new materials such as phosphorene to serve in future generations of computers and other devices. Meunier’s research exemplifies the work being done at The New Polytechnic, addressing difficult and complex global challenges, the need for interdisciplinary and true collaboration, and the use of the latest tools and technologies, many of which are developed at Rensselaer.

In their research, which appears in ACS Nano Letters, the team initially set out to refine an existing technique for determining the orientation of the crystal. This technique, which takes advantage of Raman spectroscopy, uses a laser to measure vibrations of the atoms within the crystal as energy moves through it, caused by electron-phonon interactions. Like other interactions, electron-phonon interactions within atoms-thick crystals of black phosphorus are anisotropic and, once measured, have been used to predict the orientation of the crystal.

In reviewing their initial results from Raman spectroscopy, the team noticed several inconsistencies. To investigate further, they obtained actual images of the orientation of their sample crystals using Transmission Electron Microscopy (TEM), and then compared them with the Raman spectroscopy results. As a topographic technique, TEM offers a definitive determination of the orientation of the crystal, but isn’t as easy to obtain as the Raman results. The comparison revealed that electron-phonon interactions alone did not accurately predict the orientation of the crystal. And the reason why led the way to yet another anisotropy of phosphorene–that of interactions between photons of light and electrons in the crystal.

“In Raman you use a laser to impart energy into the material, and it starts to vibrate in ways that are intrinsic to the material, and which, in phosphorene, are anisotropic,” said Meunier. “But it turns out that if you shine the light in different directions, you get different results, because the interaction between the light and the electrons in the material–the electron-photon interaction–is also anisotropic, but in a non-commensurate way.”

Meunier said the team had reason to believe phosphorene was anisotropic with respect to electron-photon interactions, but didn’t anticipate the importance of the property.

“Usually electron-photon anisotropy doesn’t make such a big difference, but here, because we have such a particular chemistry on the surface and such a strong anisotropy, it’s one of those materials where it makes a huge difference,” Meunier said.

Although the discovery revealed a flaw in the interpretations of Raman spectra relying on electron-phonon interactions, it also revealed that electron-photon interactions alone provide an accurate determination of the orientation of the crystal.

“It turns out that it’s not so easy to use Raman vibrations to find out the direction of the crystal,” Meunier said. “But, and this is the beautiful thing, what we found is that the electron-photon interaction (which can be measured by recording the amount of light absorbed)–the interaction between the electrons and the laser–is a good predictor of the direction. Now you can really predict how the material will behave as a function of excitement with an outside stimulus.”

Spintronic majority gates could revolutionize circuit design. They will completely change the paradigm – both at device and circuit level – in how to approach scaling.

BY IULIANA RADU and AARON THEAN, imec, Leuven, Belgium

Spin logic devices are an emerging beyond-CMOS technology that may push beyond Moore’s law, enabling functional scaling beyond the 5nm technology node. These exotic devices lend themselves to majority logic operation, which differs in many ways from the classical NAND-based operation. Imec looks into spin torque majority gates and spin wave majority gates, two concepts that completely change the way we think of computing and scaling. As shown at the 2015 IEDM conference, circuit simulations with these majority gates outperform equivalent CMOS circuits in terms of area and power consumption. Meanwhile, experimental work has been started to learn about the materials, about the devices behavior and about the technology challenges that lie ahead.

Spintronic majority gates, an efficient way to build circuits

As we approach 5nm logic technology in 2020, CMOS device density scaling faces serious challenges due to escalating process costs and parasitics. This inevitably leads to questions of sustainability of traditional Moore’s law where cost and data processing supposedly scale favorably with increasing device density. This begs the question: are there specialized devices and computational paradigms out there that break away from these fundamental trappings of CMOS scaling? The search is on and novel beyond-CMOS devices are being intensively studied.

This varied class of devices may enhance and complement the functionality of CMOS circuits. Among the promising concepts are spintronic devices (FIGURE 1), which exploit the electron’s spin, a quantum attribute that relates to magnetism, rather than its charge to perform logic operations. Spin logic devices promise to be non-volatile and lend themselves to ultralow-energy operation. But one of their biggest trumps is the ability to build majority gates, ‘democratic’ devices that return true if more than 50% of their inputs are true. For example, if two inputs are in a true state and a third one is in a false state, the expected state at the output is true. With these majority gates, logic AND and OR operations can be emulated. Also, this concept of majority logic operation differs in many ways from the classical NAND-based logic, where an output is false only if all its inputs are true. It presents a concept shift that completely changes the way we synthesize circuits. But the advantages are huge: majority gates enable arithmetic circuits that are much more compact and energy-efficient than conventional NAND or XOR gate-based circuits. For example, while a one-bit adder in CMOS technology requires about 25 transistors, the equivalent wave computing circuit only requires 5 transducers and 4 waveguides to perform the same operation.

Screen Shot 2016-04-26 at 3.49.09 PM

Two ways of encoding information

Spintronic majority gates can come in several flavors, differing in the way the information is encoded and processed in the device, and in the way transduction from the charge domain to the spin (magnetism) domain is executed. At imec, two concepts are studied extensively: the spin torque majority gate (STMG) and the spin wave majority gate (SWMG).

In a STMG, the information is encoded in magnetic domain walls. Domain walls are interfaces that separate regions with different magnetization direction. The majority gate itself consists of a cross-shaped free layer that is common to 4 magnetic tunnel junctions (3 inputs, 1 output). The magnetization direction of the 3 ‘input’ free layers is switched using spin transfer torque, provided by a current through each of the magnetic tunnel junctions. Based on quantum interactions between electrons known as exchange, the domain walls propagate and interact, and the majority magnetization direction wins. The output state is measured via tunneling magnetoresistance.

In a SWMG, the computation principle is based on the interference of spin waves. The information can be encoded either in the amplitude or in the phase of the waves. Spin waves are low-energy collective excita- tions in magnetic materials. They can be generated by a so-called magneto-electric cell, which converts voltage into a spin wave. Key elements of this cell are a piezoelectric layer (that converts voltage into strain) and a magnetostrictive layer (in which the strain produces a change in magnetization or magne- tization anisotropy). In its turn, the change in magne- tization can generate a spin wave in a magnetic spin wave bus. The same cell is used to read the output state of the majority gate (FIGURE 2).

Screen Shot 2016-04-26 at 3.49.32 PM

Both concepts have been studied intensively, and approaches of how to handle the computation have been proposed. An experimental demonstration is however still missing. At imec, we have enlarged our basic understanding of both STMG and SWMG and used simulations to validate device functioning. We have compared the two types of majority gates against equivalent circuits in 10nm FinFET CMOS technology. And we present our first experimental results, and highlight the main challenges for both concepts.

Spin torque majority gate – compact and technology friendly

We used micromagnetic simulations to validate the functioning of the STMG and identify its operating conditions. For this majority gate, the switching of the magnetization state is current controlled. If the applied current or the pulse length are not enough, the output fails to switch. Even if the applied current pulses provide enough energy to switch, other failure modes can appear. For example, the domain walls that are being formed can become ‘stuck’ at the crossing of the device. This happens when the width of the cross exceeds a certain value, typically in the 15-20nm range. This makes these devices difficult to demonstrate experimentally as it requires patterning and etching to small size and tight pitch between the magnetic tunnel junctions. However, this initial impediment holds great promise for further device scaling. A major advantage of this majority gate is the use of technology friendly materials, compa- rable to the materials used in magnetic memories.

We have benchmarked the device against equivalent 10nm CMOS circuits by comparing key metrics of area, power and delay. On average, the STMG circuits have about 10x smaller area, and provide a means for further scaling. However, being current controlled, the STMG circuits have a longer delay, making them less efficient than equivalent CMOS circuits. Further advances in materials stacks are needed to improve their performance, comparable to those needed in general for magnetic memory.

At imec, we are currently building the first STMG devices on 300mm wafers. Particular attention is paid to the magnetic tunnel junction pillar etch development (FIGURE 3).

Screen Shot 2016-04-26 at 3.49.43 PM

Spin wave majority gate – compact, ultralow-power but challenging materials

We used micromagnetic simulations to model the spin wave propagation in SWMGs and to simulate the magnetic behavior of the magneto-electric cell that converts the applied voltage into a spin wave. This cell is a critical component for the device functionality. We mapped out the parameter space where the magneto-electric cell is expected to work optimally and used these parameter ranges as input for circuit synthesis. Building magneto- electric cells experimentally is very challenging as the materials to be used are not typically used in standard fabs and cleanrooms. For this reason, and to help choose the right materials, we have performed circuit synthesis and benchmarked them against CMOS. Based on materials parameters extracted from these simulations we have chosen a starting set of materials for our experiments.

One of the questions to be answered is how piezoelectrics behave at very high frequencies (gigahertz range) as needed for logic devices. Piezoelectric materials are being used in many applications, where they typically operate at low frequencies (up to hundreds of kHz). At imec, we started first experiments to grow piezoelectric materials in a thin film and to learn how these materials behave in the high frequency domain. And although more experiments are needed to improve the performance and map out the reliability behavior, our preliminary results are very encouraging. An important drawback of the spin wave technology is that the required materials (both the magnetostrictive and the piezoelectric materials) are very different from standard CMOS materials (FIGURE 4).

Screen Shot 2016-04-26 at 3.49.49 PM

The spin wave technology was also benchmarked against CMOS circuits. The spin wave circuits take on average 3.5 times less area and about 400 times lower power than their CMOS counterparts. However, the spin wave circuits are on average 12 times slower, mainly because of the large switching delay of the magneto-electric cell. SWMGs may therefore be used for ultralow-power applications, where latency is a secondary consideration (FIGURE 5).

Screen Shot 2016-04-26 at 3.49.57 PM

Building arithmetic circuits on top of CMOS

Spintronic majority gates could revolutionize circuit design. They will completely change the paradigm – both at device and circuit level – in how to approach scaling. In the future, more experimental work is planned to learn about the new materials required, to validate circuit assessment, and to finally demonstrate functional devices.

Once these technologies have become more mature, we can start thinking of multi-device architectures that combine CMOS-based and spin logic devices. An interesting approach is to stack, on top of CMOS technology, arithmetic circuits made of spintronic majority gates. The high-performance functions could be executed by the CMOS-based devices and the ultralow-power functions by the spin logic arithmetic circuits. So, rather than replacing Si CMOS based transistors in the future, this beyond-CMOS technology is intended to enhance and complement the functionality of CMOS-based devices.

Spintronics belongs to the beyond-CMOS segment, where we look into new materials and device architectures, and even into new computing paradigms and circuits. Beyond-CMOS research is part of imec’s multiple roadmap scenario that is built around 3 pillars: Si extension, beyond Si and beyond CMOS. Each of these segments has its own mission and approach to enabling scaling. And each of the new technologies will bring in enabling modules and devices that will serve the application diversity in the new era of electronics: the internet of things. And the results will support the quest of the semiconductor industry to find solutions that enable continual functional scaling of cost and energy per bit by departing from the familiar CMOS scaling.

Suggested additional reading

1. Spintronic majority gates, I. P. Radu et al., IEDM 2015 (https://www.researchgate.net/publication/286882975_ Spintronic_Majority_Gates)

2. Design and benchmarking of hybrid CMOS-spin wave device circuits compared to 10nm CMOS, O. Zografos et al., Proceedings of the 15th International IEEE Conference on Nanotechnology (NANO), 2015(http://infoscience.epfl.ch/ record/211004)

3. “With our multiple roadmap scenario, we anticipate the appli- cation diversity in the new Era of Electronics”, imec annual overview 2015, vision by Aaron Thean (click on the name of Aaron at http://magazine.imec.be/data/80/reader/reader. html?t=1452505511353#!preferred/1/package/80/pub/86/ page/8)

IULIANA RADU is a program manager and AARON THEAN is the Vice President of Process Technologies and the Director of the Logic Devices Research at imec, Leuven, Belgium.