Tag Archives: letter-materials-top

By Jan Vardaman (TechSearch International) and Dan Tracy (SEMI)

While much of the recent attention has been focused on the growth of wafer level packages (WLPs), specifically fan-out WLPs, this is not the only segment forecast to undergo strong unit growth. In total, IC leadframe shipment growth will trend in the low single-digit range; the growth is entirely attributed to the chip-scale package (CSP) leadframe form factor. Combined, the more traditional IC leadframe segments are expected to experience flat shipments trends, while leadframe CSP shipments continue to growth.

Source: SEMI and TechSearch International, Global Semiconductor Packaging Materials Outlook

Leadframe CSP packages find broad adoption in analog, power, mixed signal, general purpose logic, sensors, and other device applications. A number of leadframe CSPs are in the form of quad flat no-lead (QFN) packages. These packages have pads instead of leads and do not use solder balls.  QFNs are found in mobile phones including smartphones, toys, games, tablets, medical systems, industrial, computers, networking, and automotive products.  Devices packaged in QFNs include many different MEMS and sensors such as accelerometers, gyroscopes, magnetometers, and pressure sensors, and power management devices, controllers, and ASICs.  Stacked die versions are increasingly common.  Gyroscopes and accelerometers are stacked with wire bonds in the QFNs found in many wearable products.  QFNs are also increasingly common as packages for automotive electronics.

This form factor will grow as it delivers a thin, small, and low-cost solution required in many applications. Emerging in the market are coreless structures based on a modified leadframe technology called a Molded Interconnect Solution/System (MIS) that deliver higher I/O and SiP solutions. Advancements are needed to further the high-volume ramp of MIS and other routable-leadframe CSP technologies.

Small package form factors deliver solutions needed in mobile applications and will provide the package technology for many sensor and wearable applications emerging in the market place.

The information in this article is from the Global Semiconductor Packaging Materials Outlook—2015-2019 report produced by SEMI and TechSearch International.

Harnessing the power of the sun and creating light-harvesting or light-sensing devices requires a material that both absorbs light efficiently and converts the energy to highly mobile electrical current. Finding the ideal mix of properties in a single material is a challenge, so scientists have been experimenting with ways to combine different materials to create “hybrids” with enhanced features.

In two just-published papers, scientists from the U.S. Department of Energy’s Brookhaven National Laboratory, Stony Brook University, and the University of Nebraska describe one such approach that combines the excellent light-harvesting properties of quantum dots with the tunable electrical conductivity of a layered tin disulfide semiconductor. The hybrid material exhibited enhanced light-harvesting properties through the absorption of light by the quantum dots and their energy transfer to tin disulfide, both in laboratory tests and when incorporated into electronic devices. The research paves the way for using these materials in optoelectronic applications such as energy-harvesting photovoltaics, light sensors, and light emitting diodes (LEDs).

According to Mircea Cotlet, the physical chemist who led this work at Brookhaven Lab’s Center for Functional Nanomaterials (CFN), a DOE Office of Science User Facility, “Two-dimensional metal dichalcogenides like tin disulfide have some promising properties for solar energy conversion and photodetector applications, including a high surface-to-volume aspect ratio. But no semiconducting material has it all. These materials are very thin and they are poor light absorbers. So we were trying to mix them with other nanomaterials like light-absorbing quantum dots to improve their performance through energy transfer.”

One paper, just published in the journal ACS Nano, describes a fundamental study of the hybrid quantum dot/tin disulfide material by itself. The work analyzes how light excites the quantum dots (made of a cadmium selenide core surrounded by a zinc sulfide shell), which then transfer the absorbed energy to layers of nearby tin disulfide.

“We have come up with an interesting approach to discriminate energy transfer from charge transfer, two common types of interactions promoted by light in such hybrids,” said Prahlad Routh, a graduate student from Stony Brook University working with Cotlet and co-first author of the ACS Nano paper. “We do this using single nanocrystal spectroscopy to look at how individual quantum dots blink when interacting with sheet-like tin disulfide. This straightforward method can assess whether components in such semiconducting hybrids interact either by energy or by charge transfer.”

The researchers found that the rate for non-radiative energy transfer from individual quantum dots to tin disulfide increases with an increasing number of tin disulfide layers. But performance in laboratory tests isn’t enough to prove the merits of potential new materials. So the scientists incorporated the hybrid material into an electronic device, a photo-field-effect-transistor, a type of photon detector commonly used for light sensing applications.

As described in a paper published online March 24 in Applied Physics Letters, the hybrid material dramatically enhanced the performance of the photo-field-effect transistors-resulting in a photocurrent response (conversion of light to electric current) that was 500 percent better than transistors made with the tin disulfide material alone.

“This kind of energy transfer is a key process that enables photosynthesis in nature,” said Chang-Yong Nam, a materials scientist at Center for Functional Nanomaterials and co-corresponding author of the APL paper. “Researchers have been trying to emulate this principle in light-harvesting electrical devices, but it has been difficult particularly for new material systems such as the tin disulfide we studied. Our device demonstrates the performance benefits realized by using both energy transfer processes and new low-dimensional materials.”

Cotlet concludes, “The idea of ‘doping’ two-dimensional layered materials with quantum dots to enhance their light absorbing properties shows promise for designing better solar cells and photodetectors.”

Integrated sub-fab systems allow HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals.

BY ANDREW CHAMBERS, Edwards Ltd., Clevedon, UK

The relentless scaling of structures and reduction in thermal process budgets that characterize state- of-the-art integrated circuit (IC) production have resulted in the incorporation of many complex and hazardous materials into high-volume manufacturing (HVM) processes. In order to meet the need to deposit these materials at ever-lower temperatures, many of the new process chemicals have low vapor pressures, are highly reactive and present serious hazards to personnel and equipment. Many new CVD precursors and their associated reaction by-products are flammable, pyrophoric, toxic (harmful-to-health), corrosive or otherwise hazardous to personnel or destructive to equipment, and have a tendency to condense in pipe-work, including process exhausts.

In this article we will review the risks associated with these materials and describe methods for mitigating process exhaust pipe hazards in high-volume manufacturing. In particular, we will describe an approach based on the integrating vacuum pumps and point-of-use abatement systems with essential safety devices and monitoring systems into a complete sub-fab vacuum and abatement solution. Such modular integrated sub-fab systems ensure safe system operation, including mitigation of process exhaust hazards, and reduce exposure of service staff to hazardous materials.

Process gas and reaction product hazards

Clearly, exposure of staff and equipment to hazardous chemicals leaking from process exhausts is a serious concern and careful attention to the design, control, safety qualification and maintenance of process exhaust systems is essential in configuring a safe and reliable sub fab operations.
The properties of process chemicals may be altered significantly as they pass through a process tool, and reaction products found in process tool exhausts may differ markedly from the original process precursors. For example, while high flows of tetraethylorthosilicate (TEOS) are widely used in CVD processes for deposition of silicon oxide films, the concentration of residual unreacted TEOS in a CVD process tool exhaust is minimal [1]. Instead, the TEOS is decomposed in the process chamber to form a greater volume of mixed hydrocarbon gases (ethene and ethanol, for example [2]), which are then pumped out of the process chamber into the process exhaust. When the safety of process exhausts is evaluated in the design of protective measures, interactions and transformations of process gases such as this must be considered carefully.

Deposition of hazardous materials in exhausts

In some cases, the process by-products which pass into the exhaust pipe are condensable. Frequently encountered condensable by-products include aluminum chloride (AlCl3) in metal etch, ammonium chloride (NH4Cl) in LPCVD nitride, and ammonium hexafluorosilicate ((NH4)2(SiF6)) in PECVD nitride. Several of these condensates have also been found to incorporate partly-reacted hazardous materials. For example, partly- reacted silicon-containing compounds which condense in exhaust pipes during a PECVD process may react violently with fluorine gas which flows through the exhaust pipe during a subsequent chamber cleaning process. This has caused exhaust pipe fires and serious equipment damage in a number of cases (FIGURE 1).

Screen Shot 2016-03-30 at 12.35.45 PM

In addition to the reactivity hazard posed by these materials, accumulation of condensed material during processing can block exhaust pipes, causing process tool downtime and possibly loss of production. Furthermore, the reaction of condensed fluorine- or chlorine-containing materials with atmospheric water vapor during removal and cleaning of exhaust pipes can release HF or HCl gas or other hazardous substances, posing a serious risk to service staff and requiring preventive measures.

A particularly serious example of harmful deposited materials in exhaust pipes is the condensation of extremely reactive polysiloxane materials in Si epi or Si-Ge epi exhausts [3]. These materials are particularly hazardous since they can react unpredictably and violently (explosively) on exposure to water vapor or air, or if they suffer a mechanical shock when the exhaust pipes are removed for cleaning. The consequences of process gases escaping through leaks in exhaust pipes and the tendency of materials to condense in process exhaust pipes should be carefully considered when a process exhaust system is designed. Indeed, the exhaust pipe should be considered as an important functional element of the whole sub-fab process tool support system, otherwise there may be increased risks of staff injury and process tool downtime.

Leak integrity of process exhausts

Escape of process gases or reaction products from leaking process exhaust pipes presents serious risks to fab operations. For example:

• Flammable gas escaping from exhaust pipes may mix with air in closed spaces to create a fire risk
• Toxicgasesleakingoutofnon-enclosedexhaustpipes present an injury risk to fab personnel
• Corrosive gases leaking out of non-enclosed process exhausts can harm personnel and cause severe damage to fab equipment
• Process gas odors may cause complaints from fab staff or local residents

Typically, area gas detectors are deployed in fabs to warn of process gas leaks. These are very effective in detecting escaping process gas, but when they are activated process operations are interrupted and fab output affected. Furthermore, gas detectors cannot detect inward leaks into reduced pressure pump exhausts, such as air entering exhaust pipes where it could mix with flammable process gases to form flammable mixtures. In the worst case, a flammable process gas / air mixture could be ignited by a local ignition source, such as a dry-pump or point-of-use abatement system, and cause an exhaust pipe fire.

Configuring the vacuum/abatement/exhaust components as a single coherent system can increase staff safety and manufacturing efficiency by reducing the risk of hazardous process gas escape and ensuring appropriate action if a leak is detected In particular, integrated sub fab systems enable the use of extracted secondary enclosures around vacuum pumps, point-of-use abatement systems, fuel gas delivery systems and all interconnecting pipework to contain escaping gas, while ownership, maintenance and integrity of the process exhaust pipes becomes the responsibility of the system supplier, rather than remaining undefined.

Exhaust dilution

A standard safety precaution widely used to avoid the possibility of fires in process exhausts is the dilution of flammable gases below their Lower Flammable Limit (LFL). However, there are risks with this strategy. Considering the previously cited example, if the required dilution flow is calculated based only on the volume of TEOS gas in the exhaust pipe, it will be insufficient to dilute the larger volume of hydrocarbon decomposition products below their LFL. A related risk is formation of a flammable mixture in the exhaust if there is an air leak into the exhaust pipe coincident with the TEOS being decomposed by the process chamber.

As noted above, the process dry-pump and point-of- use abatement system are both ignition sources that could ignite the hydrocarbon / air mixture and cause an exhaust pipe fire.

To operate process exhausts containing flammable gases safely using this strategy, not only must the dilution flow be calculated appropriately, but the vacuum and abatement system controller must include a capability to shut off the flammable gas flow from the process tool if the dilution flow should drop below some critical level, or if a fire occurs in the exhaust pipe, as required by semiconductor industry safety standards such as SEMI S18 [4].

In recent times, the risks associated with flammable and pyrophoric gases have become more severe as highly reactive compounds such as disilane and trimethyl aluminum have become more widely used in CVD processes. Some of these materials have extremely low LFLs – for example, disilane has a published LFL of 0.2% [5], and trimethyl aluminum is known to be extremely flammable though specific LFL data appears not to be widely available [6]. This characteristic makes their dilution to safe levels costly and inefficient from an operational efficiency perspective. For example, the low LFL of disilane requires a very large volume of nitrogen required to dilute it to a safe level, increasing the direct cost of the nitrogen and putting additional load on the fab facilities. The resulting high gas flow in the process exhaust increases the total cost of abatement by requiring larger, more expensive equipment, more sub-fab floor space, and a higher utility consumption. Finally, the abatement efficiency of highly-diluted process gases may be degraded, creating an environmental concern if emissions of process gas that exceed permitted levels.

Temperature control of process exhaust pipes

The risks posed by the condensation of process by-products in exhaust pipes can be mitigated by controlling the temperature of the exhaust pipes at a suitably high value (FIGURE 2). Commercial products are widely available to perform this function, but when selecting a suitable system, its capability to maintain a uniform temperature throughout the exhaust system should be considered carefully – in particular, cold spots caused by inadequate thermal insulation or lack of adequate real-time temperature control can cause localized by-product condensation and pipe blockage. At the other extreme, if exhaust pipes are heated to an excessively high temperature, unused CVD precursors may react, depositing solid materials in the exhaust pipe. Ideally, temperature will be actively and precisely controlled within a specified range.

Screen Shot 2016-03-30 at 12.35.52 PM

Integrated sub-fab systems

Integration of the process exhaust pipe assemblies together with dry-pumps and point-of-use abatement into a complete sub-fab system by the equipment manufacturer permits an optimization of safety, performance, efficiency and cost that cannot be achieved in the installation of discrete units by individual suppliers.

A typical integrated sub-fab system is designed to incorporate dry-pumps, point-of-use abatement systems, exhaust pipe assemblies, temperature management systems (TMS), together with all necessary safety devices, into a single entity which also includes a supervisory control system and all process tool and fab interfaces. Since all individual functional elements are integrated into a single unit, typically only one connection for each fab utility is required – not only does this reduce the overall installation cost of the sub-fab equipment, it also occupies less valuable sub-fabspace.Each such integrated system is typically used to support a single process tool, and is usually designed to fit conveniently within the “shadow” of the process tool in the sub-fab.

This close integration of the individual sub-fab functional elements into a unified system enables a reduction in risks associated with exhaust pipe leaks by continuously monitoring the leak status of the exhaust pipes, by monitoring the air extraction rate in secondary enclosures, and by monitoring the temperature and pressure in the process exhaust pipes. In the event of an excursion by any of these parameters into a critical condition, an integrated system can be designed to initiate shut-down of the process gas through its interfaces to the process tool, and alert the fab MES through its interface to a central monitoring system (CMS). Furthermore, real-time collection and processing of data from all the functional elements in the integrated system allows events leading up to previous alerts to be analyzed. Predictive algorithms can then be developed that can enable the CMS to antic- ipate or predict future failure events.

Provided the safety features of an integrated sub-fab system are properly designed, including those which specifically monitor the condition of the exhaust pipes, it becomes practical to reduce dilution rates of flammable gases safely, leading to significant reductions in required abatement capacity, capital equipment investment, utilities consumption and total operating costs in a high volume manufacturing environment (FIGURE 3).

Screen Shot 2016-03-30 at 12.35.59 PM

Implementation of Best Known Methods (BKMs)

Integrated sub-fab systems are typically built, installed and serviced by a single supplier, who takes responsibility for the complete system design, including all necessary safety functions and external interfaces. Safe sub-fab system operation is normally assured by a comprehensive safety assessment of the integrated system design and by compliance with global semiconductor industry safety standards such as SEMI S2 [7].

However, to ensure the most efficient operation it is also necessary to set-up the sub-fab system according to a Best Known Method (BKM) for each process tool. Application of process BKMs ensures that each integrated sub-fab system is fit-for-purpose to meet the specific require- ments of its allocated process tool, and shortens the time required to qualify the tool for process. Typically, sub-fab equipment suppliers use know-how based on experience of similar processes in other HVM facilities to define their own BKMs and set-up equipment properly. Once an integrated system is operational, service support, applications support and continuous improvement programs (CIP) are all available from a single source which ensures that all critical safety systems are properly maintained and comply with the latest BKMs (FIGURE 4).

Screen Shot 2016-03-30 at 12.36.06 PM

Summary

The concept of integrated sub-fab systems is a valuable tool that allows HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals. The integrated function- ality and comprehensive safety systems guard against hazardous process gas escape, leakage of air into exhausts containing flammable gas, and condensation of a wide range of hazardous materials in exhaust pipes. Collectively these attributes enable the safest and most efficient sub-fab operation for HVM.

References

1. Edwards Ltd. – unpublished data
2. M.G.M. Van Der Vis, E. Cordfunke, R. Konings: The thermody-
namic properties of Tetraethoxysilane and an infra-red study of its decomposition, Journal de Physique IV, 1993, 03 (C3), pp.C3-75-C3-82
3. Safety Applications Procedure SAP 00-01 – Pumping Reduced Pressure Epitaxy (RP Epi) Applications, Edwards Ltd.
4. SEMI S18-0312 Environmental, Health and Safety Guideline for Flammable Silicon Compound, SEMI
5. MSDS #1038 (Disilane) Air Products, Pub #320-708
6. MSDS #257222 (Trimethylaluminum) Sigma-Aldrich product
#257222
7. SEMI S2-0715, Environmental, Health and Safety Guideline for
Semiconductor Manufacturing Equipment, SEMI

ANDREW CHAMBERS currently holds the position of Senior Product Manager at Edwards Ltd., Clevedon BS21 6TH, UK [email protected]

Finding a short term solution to the neon gas shortage problem will be challenging.

BY HITOMI FUKUDA, Gigaphoton, Inc., Oyama, Japan

When many people think of neon, they think of brightly lighted signs used in restaurants and other retail environments. The element neon (Ne) gives a distinct reddish-orange glow when used in either low-voltage neon glow lamps or in high-voltage discharge tubes or neon advertising signs. The red emission line from neon is also responsible for the well known red light of helium–neon lasers. Neon is commercially extracted by the fractional distillation of liquid air. It is considerably more expensive than helium, since air is its only source.

What those outside the chip industry likely don’t know is that neon has been employed for semiconductor manufacturing for more than a decade, since deep ultraviolet (DUV) lithography came into widespread use starting with 248nm exposure systems. Why is neon important in lithography? Excimer lasers use gases like krypton fluoride (KrF) and argon fluoride (ArF) to generate light, and those gases are regularly changed out during use. However, a charge of excimer laser gas is actually about 98 percent neon, making this carrier gas essential to the laser’s operation. Three main steps are involved in producing gas suitable for excimer laser use: (1) bulk neon production, (2) purification, and (3) final mix.

Today, the semiconductor industry is experiencing severe neon shortages, leading to price increases that are impacting end-users’ bottom line. As a result, fab owners are rushing to secure enough neon to keep their facilities in operation, including buying the critical gas on the cash market and then having it purified and mixed to allow them to put it into use as quickly as possible.

Neon is a byproduct of steel production, but because it is a rare component of the waste gases, it must be recovered at very large steel plants. The former Soviet Union manufactured all of its oxygen plants for steel mills with neon, krypton and xenon capabilities and formerly worked on high-powered lasers as weapons, giving rise to significant neon capacity. Ukraine and Russia still operate the old-style massive manufacturing plants that have long since disappeared from Western countries, and have thus historically enabled the gas to be in over-supply.

From 1990 to 2012, many of these eastern European plants simply sent the crude neon into the atmosphere as no one would buy it. This over-supply began to tighten in 2014, as many old oxygen plants in Eastern Europe were either replaced by newer units without neon capability or shutdown altogether, especially with the contraction of the steel industry.

Why the shortage?

The neon crisis was triggered in part by conflict in the Ukraine, resulting in slowed production and escalating costs on the part of gas suppliers. Because neon is used for the majority of lithography light sources, the shortage caused many chip factories to face potential slowdown or even shutdown. In addition to gas prices increasing as much as 10 times over previous rates, chipmakers faced the prospect of a 15-percent or greater reduction in available supply of neon gas.

In China, old oxygen plants are being privatized or de-activated, or are being replaced by newer plants that lack the additional rare gas recovery investment. Even though there is a strong market for rare gases, the new plants are being put in without the rare gas capability due to a minimal ROI impact. Thus, while China has increased its market share in neon gas, the country’s purification facilities are few and far between, so the country currently lacks production capacity for high-grade purification of neon gas. Regional specialty gas suppliers have also reported diminished supplies, all of which has had severe implications for the future of lithography and global chip manufacturing.

Between 2012 and 2014, the net effect of the neon supply shortage was around 125 million liters of lost annual production. In 2015, neon production, at 400 million liters, was falling short of demand by roughly 75 million liters.

A deeper look at the problem

Semiconductor-related lithography accounts for about 70 percent of worldwide neon demand. As mentioned earlier, an excimer laser uses a multi-gas mixture. The term “excimer” refers to the rare gas / halide molecule. Each fill is dedicated to the generation of a single wavelength. Four wavelengths can be generated from fluorine laser gas mixtures: 157 nm (F2), 193 nm (ArF), 248 nm (KrF) and 351 nm (XeF).

According to some reports, the price of neon gas skyrocketed in 2014, from roughly $1,000 for a 6,000-liter bottle of the gas, to approximately $6,000 for the same quantity as of late 2015. This is evident as seen in FIGURE 1, where the different colors represent the various global chipmakers. Neon gas, minerals, and the industry workhorse—silicon — are among the critical materials vital to semiconductor industry operations. The industry has had to deal with shortages in helium and rare earths in recent years, but was able to find at least temporary solutions.

Screen Shot 2016-03-30 at 12.21.38 PM Screen Shot 2016-03-30 at 12.21.43 PM

Neon gas, on the other hand, appears to be a shortage for which finding a solution in the short term will be far more challenging. This problem is expected to continue for several years until a) sufficient new capacity comes on line, b) recycling can be implemented, or c) reprogramming of lasers can be accomplished, in order to allow for more efficient usage. In all likelihood, it will be a combination of all three of these factors that will alleviate the industry’s neon supply challenges, although getting prices back down to a more affordable level is likely to take longer.

Neon conservation

In the meantime, the industry is looking at ways to conserve neon gas to help stretch its usage until such as time as the larger issues begin to be addressed in a more long-term fashion. Important developments in neon conservation include recent excimer laser gas usage optimization efforts that have been put in place by lithographic tool and laser equipment vendors to help end-customers reduce consumption. Optimization can be achieved via software updates for current systems and may result in up to 40 percent more efficient neon usage. In addition, recovery and recycling of neon may be relatively straight-forward with few technical challenges, so several suppliers are proposing recycling and recovery plans.

With that said, the potential impact of these conservation efforts should be carefully considered, as some have the potential to put on hold, or even cancel, capital investment plans to produce more neon. This could mean the neon shortage would become exacerbated or prolonged beyond its current, already critical level.

To combat this crisis, Gigaphoton developed its unique Neon Gas Rescue Program, which expands on its previously announced program offering its eTGM technology for all new and existing GT series ArF immersion lasers.

The new program provides a more comprehensive package that includes the following:

1. A program for rapid qualification of new gas suppliers requested by customers. Previously, testing and qualification of a new gas supplier required anywhere from six to 12 months, but the new program will enable customers to begin using new gas suppliers much more quickly – cutting the qualification time down to as little as one month.

2. A limited, free-of-charge offer of the company’s eTGM technology will also be extended to the G41K series KrF lasers and GT40A series ArF lasers. This extended offer will commence in November 2015. By introducing eTGM, customers can reduce the laser’s neon usage by 25 percent on KrF and ArF lasers, and up to 50 percent on ArF immersion lasers.

3. The accelerated introduction of Gigaphoton’s newest gas recycling technology, hTGM, which can be applied to all types of lasers. hTGM is expected to begin roll-out later this year. By implementing the hTGM technology, customers will be able to recycle up to 50 percent of their gas consumption.

Conclusion

While the semiconductor industry is facing a unique challenge with the current neon gas supply shortage, it has history on its side in terms of innovative solutions. The lithography sector, in particular, has repeatedly found ways to extend and revitalize technology applications.

HITOMI FUKUDA is from the marketing team at Gigaphoton, 400 Yokokurashinden, Oyama-shi, Tochigi-ken 323-8558, Japan; email: [email protected]; www.gigaphoton.com.

Juelich physicists have discovered unexpected effects in doped graphene – i.e. graphene that is mixed with foreign atoms. They investigated samples of the carbon compound enriched with the foreign atom nitrogen on various substrate materials. Unwanted interactions with these substrates can influence the electric properties of graphene. The researchers at the Peter Gruenberg Institute have now shown that effective doping depends on the choice of substrate material. The scientists’ results were published in the journal Physical Review Letters.

Harder than diamond and tougher than steel, light weight, transparent, flexible, and extremely conductive: the mesh material graphene is regarded as the material of the future. It could make computers faster, mobile phones more flexible, and touchscreens thinner. But so far, the industrial production of the carbon lattice, which is only one atom thick, has proven problematic: in almost all cases, a substrate is required. The search for a suitable material for this purpose is one of the major challenges on the path towards practical applications because if undesirable interactions occur, they can cause the graphene to lose its electric properties.

For some years, scientists have been testing silicon carbide – a crystalline compound of silicon and carbon – for its suitability as a substrate material. When the material is heated to more than 1400 degrees Celsius in an argon atmosphere, graphene can be grown on the crystal. However, this ‘epitaxial monolayer graphene’ displays – very slight – interaction with the substrate, which limits its electron mobility.

In order to circumvent this problem, hydrogen is introduced into the interface between the two materials. This method is known as hydrogen intercalation. The bonds between the graphene and the substrate material are separated and saturated by the hydrogen atoms. This suppresses the electronic influence of the silicon crystal while the graphene stays mechanically joined with the substrate: quasi-free-standing monolayer graphene.

High-precision measurements with standing X-rays

For practical applications, the electrical properties of graphene must be modifiable – for example by introducing additional electrons into the material. This is effected by targeted “contamination” of the carbon lattice with foreign atoms. For this process, known as doping, the graphene is bombarded with nitrogen ions and then annealed. This results in defects in the lattice structure: some few carbon atoms – fewer than 1 % – separate from the lattice and are replaced with nitrogen atoms, which bring along additional electrons.

Scientists at Juelich’s Peter Gruenberg Institute – Functional Nanostructures at Surfaces (PGI-3) have now, for the first time, studied whether and how the structure of the substrate material influences this doping process. At the synchrotron radiation source Diamond Light Source in Didcot, Oxfordshire, UK, Francois C. Bocquet and his colleagues doped samples of epitaxial and quasi-free-standing monolayer graphene and investigated its structural and electronic properties. By means of standing X-ray wave fields, they were able to scan both graphene and substrate at a precision of a few millionths of a micrometre – less than a tenth of the radius of an atom.

Nitrogen atoms in the interface layer are also suitable for doping

Their findings were surprising. “Some of the nitrogen atoms diffused from the graphene into the silicon carbide,” explains Bocquet. “It was previously believed that the nitrogen bombardment only affected the graphene, but not the substrate material.”

Although both samples were treated in the same way, they exhibited different nitrogen concentrations, but almost identical electronic doping: not all nitrogen atoms were integrated in the graphene lattice, nevertheless the number of electrons in the graphene rose as if this were the case. The key to this unexpected result lies in the different behaviour of the interface layers between graphene and substrate. For the epitaxial graphene, nothing changed: the interface layer remained stable, the structure unchanged. In the quasi-free-standing graphene, however, some of the hydrogen atoms between graphene and substrate were replaced with nitrogen atoms. According to Bocquet: “If you examine the quasi-free-standing graphene, you will find a nitrogen atom underneath the graphene coat in some places. These nitrogen atoms, although they are not part of the graphene, can dope the lattice without destroying it. This unforeseen result is very promising for future applications in micro- and nanoelectronics.”

Chemical precursors (inorganic and organic) used to form high dielectric constant (High-K) materials, metals and metal nitrides needed in advanced ICs are forecasted to reach $400M USD in global sales by 2020, as highlighted in TECHCET’s 2016 Critical Materials Report. Estimated to have totaled over $258M in 2015, this market consists of ~51% high-k metal precursors used for gate dielectrics and capacitors, and ~49% other metal precursors used for electrode and interconnect processes.

The largest usage for High K ALD and CVD (Atomic Layer Deposition and Chemical Vapor Deposition) precursors will continue to be capacitor formation for volatile memory devices through 2020. However, it is expected that revenues for High-K gate oxides processes may surpass memory capacitors by 2021. Compared to CVD, the ALD process relies on unique properties of precursors to self-limit reactions at the atomic level, so ALD precursors are generally chemically engineered complex molecules that command relatively higher average selling prices.

Atomic Layer Etching (ALE) is a new technology similar to ALD, in that alternating sequential surface-limited steps remove precise layers. When engineering atom-scale device features, chip fabricators will continue to rely on such high precision processes employing new and existing materials to enable high quality surfaces. Besides the physical plasma assisted path to ALE employing Cl2 and Ar ions, the chemical path to ALE uses metal organic compounds and hydro fluoric acid, and recent research is focused on using tin(II) acetylacetonate and other beta-diketonates.

Understanding the complex dynamics of materials interactions are critical to the successful use of novel processes and materials in IC HVM. Challenges and opportunities relating to the affordable, controllable, and safe implementation of new materials will be presented in detail at the Critical Materials Conference 2016—open to the public May 5-6, in Hillsboro, Oregon—in conjunction with the private Critical Materials Council (CMC) meetings. For more info on TECHCET’s Report or to Register for the CMC Conference, please go to www.cmcfabs.org/seminars/ or contact [email protected]

TECHCET’s work is focused on process materials supply-chains and materials technology trends for Semiconductor, Display, Solar/PV, and LED manufacturing industries. The company has been responsible for producing the Critical Material Reports for SEMATECH and the industry since 2000. This work continues to benefit the Critical Materials Council, now organized as CMC Fabs. For more info please go to: www.cmcfabs.org or www.techcet.com

Neon shortage coming


February 18, 2016

The current Neon demand is growing in “stealth mode” – hidden from the layman’s view because of significant factors only analysts fully versed in lithography, OLED/FPD and semiconductor device trends would catch. The traditional method of using historical data to predict future Neon demand will grossly underestimate future usage.

“Those who are basing their thinking on projections of historical Neon growth are in for a big surprise,” said TECHCET’s President/CEO, Lita Shon-Roy.   “Even with the recovery of the Neon supply chain, Neon conservation actions, and new sources in China, we predict that Neon demand will grow faster than Neon supply,” she added.

The largest and most rapidly growing Neon demand drivers are Lasik, OLED/FPD (displays) and DUV lithography. However, Neon gas consumed by DUV excimer laser gases is growing at a faster pace and represents more than 90% of world’s Neon consumption.

Semiconductor lithographic use of Neon is increasing more rapidly than expected for several reasons including the delay of EUVL while demand for finer line width patterning is increasing. In addition, new consumer related markets drive increased usage of legacy device processing. Each increase in the number of lithographic steps increases the need for more DUV lithography tools, and drives up the volume demand for Neon. This is true for V-NAND process flows, as well as DRAM and Logic devices dependent on multi-patterning.

Currently, the installed base of DUV lithography tools is ~ 4,400. In contrast, there have only been a dozen or so EUVL tools shipped through the end of 2015.

“The continued growth of DUV tools will push up demand for NEON beyond which supply can support,” cautioned Shon-Roy.

More details can be found from TECHCET’s latest Critical Materials Report on NEON Supply & Demand. Information will also be presented at the CMC Conference, scheduled for May 5-6, in Hillsboro, Oregon – this is the open forum portion of the Critical Materials Council meetings. For more information go to http://techcet.com/product/neon-a-supply-alert-report/ For more information on the CMC Conference please go to www.cmcfabs.org/seminars/

CMC Fabs is a membership based group that actively works to identify issues surrounding the supply, availability, and accessibility of semiconductor process materials, current and emerging, “Critical Materials.” CMC Fabs is managed by TECHCET CA LLC, a firm focused on Process Materials Supply Chains, Electronic Materials Technology Trends, and Materials Market Analysis for the Semiconductor, Display, Solar/PV, and LED Industries. The Company has been responsible for producing the SEMATECH Critical Material Reports since 2000.

Engineering material magic


February 15, 2016

University of Utah engineers have discovered a new kind of 2D semiconducting material for electronics that opens the door for much speedier computers and smartphones that also consume a lot less power.

The semiconductor, made of the elements tin and oxygen, or tin monoxide (SnO), is a layer of 2D material only one atom thick, allowing electrical charges to move through it much faster than conventional 3D materials such as silicon. This material could be used in transistors, the lifeblood of all electronic devices such as computer processors and graphics processors in desktop computers and mobile devices. The material was discovered by a team led by University of Utah materials science and engineering associate professor Ashutosh Tiwari. A paper describing the research was published online Monday, Feb. 15, 2016 in the journal, Advanced Electronic Materials. The paper, which also will be the cover story on the printed version of the journal, was co-authored by University of Utah materials science and engineering doctoral students K. J. Saji and Kun Tian, and Michael Snure of the Wright-Patterson Air Force Research Lab near Dayton, Ohio.

University of Utah materials science and engineering associate professor Ashutosh Tiwari holds up a substrate layered with a newly discovered 2-D material made of tin and oxygen. Tiwari and his team have discovered this new material, tin monoxide, which allows electrical charges to move through it much faster than common 3-D material such as silicon. This breakthrough in semiconductor material could lead to much faster computers and mobile devices such as smartphones that also run on less power and with less heat. Credit: Dan Hixson/University of Utah College of Engineering

University of Utah materials science and engineering associate professor Ashutosh Tiwari holds up a substrate layered with a newly discovered 2-D material made of tin and oxygen. Tiwari and his team have discovered this new material, tin monoxide, which allows electrical charges to move through it much faster than common 3-D material such as silicon. This breakthrough in semiconductor material could lead to much faster computers and mobile devices such as smartphones that also run on less power and with less heat. Credit: Dan Hixson/University of Utah College of Engineering

Transistors and other components used in electronic devices are currently made of 3D materials such as silicon and consist of multiple layers on a glass substrate. But the downside to 3D materials is that electrons bounce around inside the layers in all directions.

The benefit of 2D materials, which is an exciting new research field that has opened up only about five years ago, is that the material is made of one layer the thickness of just one or two atoms. Consequently, the electrons “can only move in one layer so it’s much faster,” says Tiwari.

While researchers in this field have recently discovered new types of 2D material such as graphene, molybdenun disulfide and borophene, they have been materials that only allow the movement of N-type, or negative, electrons. In order to create an electronic device, however, you need semiconductor material that allows the movement of both negative electrons and positive charges known as “holes.” The tin monoxide material discovered by Tiwari and his team is the first stable P-type 2D semiconductor material ever in existence.

“Now we have everything — we have P-type 2D semiconductors and N-type 2D semiconductors,” he says. “Now things will move forward much more quickly.”

Now that Tiwari and his team have discovered this new 2D material, it can lead to the manufacturing of transistors that are even smaller and faster than those in use today. A computer processor is comprised of billions of transistors, and the more transistors packed into a single chip, the more powerful the processor can become.

Transistors made with Tiwari’s semiconducting material could lead to computers and smartphones that are more than 100 times faster than regular devices. And because the electrons move through one layer instead of bouncing around in a 3D material, there will be less friction, meaning the processors will not get as hot as normal computer chips. They also will require much less power to run, a boon for mobile electronics that have to run on battery power. Tiwari says this could be especially important for medical devices such as electronic implants that will run longer on a single battery charge.

“The field is very hot right now, and people are very interested in it,” Tiwari says. “So in two or three years we should see at least some prototype device.”

Worldwide silicon wafer area shipments increased 3 percent in 2015 when compared to 2014 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its year-end analysis of the silicon wafer industry. However, worldwide silicon revenues decreased by 6 percent in 2015 compared to 2014.

Silicon wafer area shipments in 2015 totaled 10,434 million square inches (MSI), up from the previous market high of 10,098 million square inches shipped during 2014. Revenues totaled $7.2 billion down from $7.6 billion posted in 2014. “Semiconductor silicon shipment levels remained strong throughout most of the year, resulting in record volume shipments,” said Dr. Volker Braetsch, chairman SEMI SMG and senior vice oresident of Siltronic AG. “The strength in shipments was not enough to compensate headwinds from further price decline and some exchange rate impact; silicon revenues for the year decreased yet again and are significantly below their market high set in 2007.”

Annual Silicon* Industry Trends

2007

2008

2009

2010

2011

2012

2013

2014

2015

Area Shipments (MSI)

8,661

8,137

6,707

9,370

9,043

9,031

9,067

10,098

10,434

Revenues ($B)

12.1

11.4

6.7

9.7

9.9

8.7

7.5

7.6

7.2

*Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers and epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within SEMI and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

A novel SACVD PMD invention sets the benchmark for helium reduction efforts by achieving four key objectives: cost reduction, quality, process robustness and productivity.

BY JAE HEE KIM, Thin Film Dielectric Fabrication Engineering, Texas Instruments, Dallas, TX

The United States is the world’s largest helium supplier and half of its supply comes from a helium reserve regulated by the Bureau of Land Management just outside of Amarillo, Texas. As many predict, at the current rate of production the maximum expected life of this reserve is 2020. As a result of a shortage that began in 2013, the cost of bulk helium has been increasing significantly (FIGURE 1).

Helium 1

Considering semiconductor manufacturing is one of largest helium consuming industries [2], it becomes crucial to invest continuous efforts to minimize helium usage during wafer fabrication processes and to identify new opportunities for helium reduction. In this article, we’ll take a look at a new innovative process to do just that.

Sub-Atmospheric Chemical Vapor Deposition (SACVD) for pre-metal layer consumes a significant amount of helium to assist in process gas delivery during deposition and in-situ chamber clean which makes the best candidate for helium reduction effort benchmarking. Also, SACVD Pre-Metal Dielectric (PMD) consists of various processes including phosphosilicate glass (PSG) and borophospho-silicate glass (BPSG) which makes the fan-out process more applicable for a bigger impact on helium reduction. So how do we do it?

Objectives

There are four key objectives to a new SACVD PMD process development that my team has looked at: cost, quality, process robustness, and productivity. First, a new carrier gas was identified to maximize helium usage reduction. Second, solutions to both new hardware and process conditions were developed for quality improvement. A new blocker plate was qualified to improve within wafer thickness uniformity. Additionally, gas conditions were developed to improve the gap-fill capability for leakage reduction. Third, a new pressure condition was qualified for process robustness improvement. An old two-step baseline process was designed for better gap fill by depos- iting initial 4kA film at 700Torr for lower deposition rate and the rest of the film at BKM pressure, 200Torr for better cycle time. However, this baseline two-step process, which operates at near atmospheric pressure on a sub-atmospheric CVD tool platform, is marginal for pumping speed degradation which leads to inline defect. Susceptibility of defect formation was reduced by lowering process pressure from 700Torr to 600Torr during the initial PMD layer. Last, overall process conditions were evaluated to achieve a desirable deposition rate in order to ensure comparable manufacturing throughput. Furthermore, a new process condition was selected to avoid process chamber restriction for flexibility of manufacturing.

New process carrier gas identification

Initial process development was divided into two categories: BPSG and PSG. Development began with PSG since there is one less process parameter, Boron compared to BPSG process. Preliminary tests showed that a 100 percent N2 carrier drives an unstable film thickness range. Based on findings, a helium and nitrogen mix carrier gas was selected for further process evaluation. The main focus at this stage of evaluation were to identify process conditions including a helium and nitrogen mix carrier gas flow to achieve maximum helium savings, comparable cycle time, and thickness uniformity improvement.

Process condition development

Based on design of experiments (DOE) with four key process parameters (N2, He, O3, spacing), we learned that deposition rate is faster with increasing He and slower with increasing N2 and O3. Thickness uniformity degrades with total carrier gas flow. Based on DOE results, initial proposed condition was carrier 5500sccm (3:1 = N2:He), O3 3000sccm, spacing 200mils for better thickness uniformity and shorter cycle time while saving the maximum amount of helium.

Unfortunately, this condition degraded at baseline margin to form voids in 700Torr deposition film due to faster deposition rate. Focus was then shifted to identify a recipe condition that lowers the deposition rate during 700Torr deposition for a better gap fill capability which also can be used for both 200Torr PSG and two-step PSG to ensure manufacturing flexibility.

Based on deposition rate DOE with three parameters including Ozone, tetraethyl orthosilicate (TEOS) and spacing (TABLE 1), ozone flow has first-order effects on the deposition rate, and spacing has second-order effects. TEOS flow has third-order effects on deposition rates but also reduces dopant concentration of film. Temperature change was not considered since it affects other recipe conditions at a greater degree. Increasing pressure was also not considered since the process already operates at a high pressure of 700Torr.

Helium Table 1

Then it was decided to include Ozone and spacing, in addition to helium and nitrogen, into further process characterization. We ran comprehensive three factorial DOE to deposit 4kA PSG film at 700Torr at various settings of total carrier flow, spacing, and ozone. This was in order to achieve a lower deposition rate for better gap fill and good thickness uniformity. DOE conditions were determined based on JMP prediction profiler and calculators to evaluate a wide spectrum of different deposition rates at 700Torr and thickness range.

To evaluate the DOE result, two techniques were used. First, wafer samples were prepared by sputtering top down until they reached the very initial layer of PMD to open up any voids that are present in PSG film. Effectiveness of gap-fill capability was rated by quantifying a number of voids on the scanning electron microscopy (SEM) images captured at same magnification on the consistent location of the wafer sample. This is a more effective technique than collecting transmission electron microscopy (TEM) on a defined location on samples since top down SEM can capture broader areas of wafer samples. Second, wafers were also submitted for dynamic secondary ion mass spectrometry (SIMS) to ensure if the dopant profile throughout PSG film is comparable to the baseline. This critical step is to verify that there is no sign of unstable dopant distribution that could lead to any adverse effects, such as increased etch selectivity or poor gettering (FIGURE 2).

Helium 2

Based on DSIMS collected, it was found that the dopant concentration profile becomes unstable if the total carrier gas flow is less than 5500sccm. Phosphorous (P) concentration profile shows fluctuation all throughout the film at a total carrier gas flow less than 5500sccm while phosphorous percent profile was steady at total carrier gas at 5500sccm or higher (FIGURE 3).

Helium 3

Among many conditions that satisfy a total carrier gas flow of less than 5500sccm, when ozone flow is 5000sccm and total carrier gas is 5500sccm with a 3:1 ratio of nitrogen to helium, the top down SEM result shows a greatly reduced number of voids in film. This means the deposition rate during 700Torr is slow enough to improve gap-fill capability. At the same time, Ozone flow at 5000sccm was fast enough during 200Torr to maintain a comparable cycle time. Therefore, this condition can be used for both single step PSG and two-step PSG which allows flexibility for manufacturing to run both processes without equipment restriction. Dynamic SIMS also verified that this condition provided a stable dopant profile. Thickness uniformity was also comparable to the baseline on this recipe condition. Therefore, spacing 200mils, ozone 5000sccm, and a total carrier flow 5500sccm was chosen as a finalized new PSG condition.

For the BPSG process, the same technique was used for evaluation. DSIMS was used to ensure both Boron and phosphorous concentration profiles are comparable. The same carrier gas conditions with nitrogen and helium at a ratio of 3:1 of 5500sccm and Ozone 5000sccm were selected for the final condition. TEOS was increased from 600mgm to 800mgm to make sure the deposition rate is comparable to maintain manufacturing cycle time at PMD (TABLE 2).

Helium Table 2

Flash parametric legacy issue improvement

A high aspect ratio of device structure can cause voids in PMD that lead to poor isolation and yield loss. There are many contributing factors that modulate PMD voids, including a stacked gate vertical profile and a sidewall spacer profile. Among all contributing factors, however, a void-free PMD process was proven to be the most effective way to minimize leakage. The void-free PMD was achieved by qualifying a new two-step PSG process with a mix carrier gas.

The new two-step PSG process with a mix carrier greatly lowers the deposition rate during the initial PMD layer. This helps deposit film more uniformly at higher pressures to minimize voids, while depositing the rest of the PMD at a faster deposition rate at lower pressure helps to compensate cycle time loss from the initial deposition.

The new two-step PSG alleviates leakage susceptibility on the wafer edge and reduces sensitivity to the PMD void-contributing factors by adding significant margins to leakage failure due to voids. Notably, the PMD gap-fill improvement added significant integration marginality between the sidewall spacer profile and the PMD which led to lower process and tool sensitivity at the sidewall spacer etch. This increases manufacturing capacity by releasing sidewall spacer etch process chambers with historical leakage failure susceptibility to production. Most importantly, parametric outlier probability was greatly improved by 20 percent and a zero standard parametric failure rate was achieved by qualifying void-free PMD (FIGURE 4).

FIGURE 4. Void-free PMD (right) shows excellent gap fill while baseline PMD (left) shows a void filled with W [3].

FIGURE 4. Void-free PMD (right) shows excellent gap fill while baseline PMD (left) shows a void filled with W [3].

Process robustness improvement

There were technical challenges with center cluster defects on the new two-step process. Center cluster defects affected isolation contact resistance. Based on TEM (FIGURE 5), defects formed around where a low deposition rate completed and a faster deposition rate resumed. Dynamic SIMS showed a phosphorous concen- tration peak at the defect which explained why this defect had a high contact etch selectivity.

Helium 5

After exposing the test wafer for 24 hours at atmosphere, haze was formed on its substrate. Time of flight secondary ion mass spectroscopy showed that haze was caused by a reaction between excessive phosphorous and atmospheric moisture. Additionally, a repeatability test showed that the tail of cluster defects extended towards gas exhaust. Based on these findings, this baseline two-step process which operates at near-atmospheric pressure on a sub-atmospheric CVD tool platform is marginal to maintain sufficient pumping speed during pressure transition from high process pressure to low process pressure (FIGURE 6). This significantly increased the chances of forming center cluster defects with a heavier carrier gas. This is because the pumping speed is lower at a higher pressure and mean residence time is longer at a higher pressure. Additionally, conductance is lower with N2 than with He due to heavier molecular weight.

Helium 6

In order to address this issue, the new two-step process was reevaluated and a new process condition was developed. As summarized in TABLE 3, it was decided to maintain the same carrier gas flow to maintain bulk helium savings. Pressure condition for the first deposition step was modified from 700Torr to 600Torr. This new two-step process improved robustness by reducing risks of pumping speed degra- dation during the pressure transition from 600Torr to 200Torr. The new two-step process is also able to deliver a strong PMD void-fill improvement by maintaining a zero parametric failure rate for leakage.

Helium Table 3

Thickness uniformity improvement

The new SACVD PMD invention took part not only in process development but also in hardware improvement. The new process with a baseline helium blocker plate that helps uniform process gases dispersion showed higher within wafer thickness range which appeared on wafer substrate as in forms of lightly discolored spots. Based on Energy Disperse Spectroscopy (EDS) and Dynamic SIMS, defects were a part of the top 270A of PSG film. The location of spots were nicely matched to the hole pattern of the helium blocker plate. The nitrogen blocker plate was qualified as it consisted of the same material as the helium blocker plate but had a more dense hole pattern. It was not only able to eradicate the anomaly on the surface film but also to alleviate the baseline starburst pattern on the deposited film.

DSIMS confirmed that the dopant profiles on the nitrogen blocker plates are comparable to the ones on the helium blocker plate. The nitrogen blocker plate improved within wafer thickness uniformity by 35 percent on a new PSG film ranging from 12kA to 16kA compared to an old PMD baseline performance (FIGURE 7). Consequently, this improved the process capability index at post PMD Chemical Mechanical Polish (CMP) by improving process targeting based on improved thickness uniformity.

Helium 7

Manufacturing and engineering productivity increased, as well, due to reduced tool down time. New blocker plate qualification also alleviated the sensitivity of film thickness uniformity to the heater age and possibly helped to extend heater life on the PSG chambers and reduce tool down time for range failure.

Conclusion

This novel SACVD PMD invention successfully set the benchmark for helium reduction efforts by achieving four key objectives: cost reduction, quality, process robustness, and productivity. It brings a substantial impact on bulk helium gas savings with worldwide limited supplies and increasing demand. The new PMD reduces bulk helium usage by 80.4 percent and 77.1 percent for PSG and BPSG respectively during deposition and completely eliminates helium usage during in-situ chamber clean.

This new process achieved outstanding gap-fill capability by lowering the deposition rate at initial PMD layer. The process successfully eliminated leakage failure at parametric by adding significant process integration marginality for void formation. It also improves process robustness by reducing risks of pumping speed degra- dation during the pressure transition from 600Torr to 200Torr. Process conditions are carefully developed for comparable manufacturing throughput and harmonized between single step PSG and two-step PSG in order to ensure manufacturing flexibility. Lastly, new hardware qualification also helps improve quality and productivity by lowering within wafer thickness range.

References

[1] C. Kaneshige, 2013, an excerpt from GE Healthcare published in 2012
[2] Semiconductor Industry Association, August 1, 2012, Hearing on “Helium: Supply Shortages Impacting our Economy, National Defense and Manufacturing” (Hearing held on July 10, 2012). Testimony for the Record of the Semiconductor Industry Association.
[3] D. Rodriguez, 2014, unpublished