Tag Archives: letter-mems-business

Plasma-Therm announced that it has acquired an innovative High Density Radical Flux plasma technology, which enables low-temperature Bosch polymer removal.

High Density Radical Flux — HDRF® —was developed by Nanoplas France as a superior plasma process for low-temperature removal of photoresists and organic polymer residues. These capabilities are especially important for device fabrication steps in the MEMS, LED, and advanced packaging markets.

Plasma-Therm is integrating HDRF technology into its existing suite of plasma etching, deposition, and wafer-dicing products. The Nanoplas-developed HDRF low-temperature photoresist stripping capability is also applicable to Bosch polymer removal after DRIE processing.

“We are eager to make the HDRF technology available to our existing customers and potential customers,” said Ed Ostan, vice president of marketing for Plasma-Therm. “HDRF fits very well into our etch and deposition product line, because this will allow Plasma-Therm to provide multi-step solutions to specialized device manufacturers for both R&D and production use.”

Plasma-Therm will also offer ongoing support to Nanoplas customers. The Nanoplas installed baseis primarily made up of DSB 6000 and DSB 9000 HDRF systems.

HDRF enables removal of photoresist, as well as organic polymers left on trench sidewalls following DRIE processes. These applications are sought for advanced packaging, MEMS, and power devices.

HDRF systems incorporate a multi-zone, remote, inductively coupled plasma (ICP) source, which produces up to 1,000 times greater chemical concentration than a conventional ICP source.

HDRF provides better performance than wet processing and regular plasma processing in terms of selectivity, low damage, flexibility, and high-aspect-ratio efficiency. HDRF provides superior polymer removal efficiency for high-aspect-ratio (greater than 50:1) structures.

With operating temperatures lower than 80° C., and with high selectivity to TiN, Al, Au, SiO2, and Si3N4, HDRF provides damage-free residue removal for ultra-sensitive devices.

Nanoplas introduced the semi-automatic DSB 6000 system in 2008. It was followed in 2011by the fully automatic 200mm DSB 9000 system, which accommodates one or two process modules. Both systems are capable of chemical downstream etching, stripping and cleaning applications. The company also designed the HDRF300 system for advanced cleans for 3D-IC fabrication. Nanoplas customers include global companies utilizing the systems in volume production, and also R&D and pilot line facilities, company officials said.

According to a report from IC Insights, the worldwide market for optoelectronics, sensors and actuators, and discrete semiconductors (O-S-D) has turned into a mixed bag of double-digit growth for several major product categories (lamp devices, infrared circuits, and CMOS image sensors) combined with single-digit declines in sales for nearly a dozen other categories (including most sensors, diodes, rectifiers, and power transistors). Combined revenues for O-S-D products are expected to grow 3% in 2015 to a new record-high $66.4 billion from the current peak of $64.4 billion set in 2014, when sales increased by 9%  (Figure 1). With integrated circuit sales on track to decline by 1% this year, the marketshare of O-S-D products is projected to reach nearly 19% of total semiconductor revenues in 2015, which are now expected to drop by less than a half percent to $354.1 billion.

Figure 1

Figure 1

IC Insights expects growth in the sensor/actuator market segment to slightly strengthen in 2016 with revenues projected to rise 4% to $10.5 billion after increasing just 2% in 2015 to $10.1 billion due to significant price erosion in many sensor product categories.  The commodity-filled discretes segment is expected to recover and grow 3% in 2016 to $22.2 billion after being knocked down 6% in 2015 to $21.5 billion because of a slowdown in equipment manufacturing and weakness in the global economy during the second half of this year.

Optoelectronics is expected to continue to be the strongest growing segment in the O-S-D marketplace during the second half of this decade, primarily because of increasing demand for CMOS image sensors in a wide range of embedded applications (such as automotive, medical, video-surveillance networks, and image recognition systems) along with the spread of solid-state lighting products built with high-brightness light-emitting diodes (LEDs), and the need for more laser transmitters in high-speed optical communication networks.

The other two O-S-D segments — sensors/actuators and discretes — have struggled to maintain consistent growth after rebounding in 2014 from slumps in 2012 and 2013. Discretes semiconductor sales continue to be whipsawed by volatility in product purchases, which have quickly switched on or off depending upon changes in the economic outlook or end-use market demand. Power transistors, which account for more than half of discrete sales, have also seen tremendous swings in demand since 2010.

Additional information regarding market growth trends for optoelectronics, sensors/actuators, and discretes is provided in the October Update to The McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry. Expanded coverage and detailed analysis of trends and growth rates in the optoelectronics, sensors/actuators, and discretes market segments is offered in IC Insights’ O-S-D Report—A Market Analysis and Forecast for Optoelectronics, Sensors/Actuators, and Discretes.

SAN JOSE, Calif. — InvenSense, Inc., a leading provider of MEMS sensor platforms, today announced it has released for OEM review UltraPrint, its ultrasonic fingerprint imaging solution, manufactured on the proprietary InvenSense CMOS-MEMS Platform (ICMP), offering ramp to production in calendar year 2017. As the world’s largest fabless MEMS SOC innovator and source for the eutectically bonded ICMP, InvenSense ships, on average, over twelve million motion or audio sensor SOCs each week to leading global mobile and IoT OEMs.

By adding aluminum nitride-based piezoelectric capacity to its platform, InvenSense is enabling, for the first time, mass manufacture of unique piezoelectric Micromachined Ultrasonic Transducers (pMUT) and transducer arrays, with each transducer element individually controllable through direct wafer-level interconnect to the CMOS ASIC.

This dramatic advancement in acoustic imaging technology will allow manufacturers to seamlessly integrate, on a platform proven capable of accommodating exceptionally high volumes, detailed fingerprint images from the epidermal to dermal layers, and to do so directly through glass or metal, even in the presence of oil, lotions, perspiration or other moisture, and other common contaminants that can easily undermine legacy capacitive solutions. These critical factors enhance live finger authentication and guard against spoofing, thereby increasing security.

Fari Assaderaghi, InvenSense’s Vice President Advanced Technology, commented: “Our proprietary UltraPrint technology is expected to enable, for the first time, deployment of ultrasonic fingerprint solutions under glass, as well as a myriad of other surfaces. This flexibility in sensor placement without cutting a hole in display glass, aluminum, steel or plastic case material is highly valued by mobile and other OEMs. Our innovative team is excited to work with equally motivated partners to quickly bring this new technology to market.”

Mo Maghsoudnia, InvenSense’s Vice President Worldwide Manufacturing, added: “As a leading innovator in MEMS and sensor technology globally, and the world’s only fabless MEMS SOC vendor selling hundreds of millions of motion and audio sensors each year, we have a proven track record of rapidly ramping up innovative new MEMS solutions into mass production. We’re excited to extend the InvenSense CMOS-MEMS Platform to pMUT devices and enable a breakthrough authentication solution for leading mobile and IoT products.”

SEMI, the global industry association advancing the interests of the worldwide electronics supply chain, today published a new report, “Global 200mm Fab Outlook to 2018.” According to the report, worldwide 200mm semiconductor wafer fab capacity is forecast at 5.2 million wafer starts per month (wspm) in 2015 and expanding to 5.4 million wspm in 2018. In addition to the release of the report, SEMI is offering two complimentary webinars (November 2 at 5:00pm Pacific; November 3 at 8:00am Pacific) with highlights of the newly released 200mm report.

Based on the rapidly increasing number of internet-enabled mobile devices and the emergence of the IoT (Internet of Things), demand for sensors, MEMS, analog, power and related semiconductor devices is growing. While these devices are critical to enable the new era of computing, the applications do not require leading-edge manufacturing capability, and this demand is “breathing new life” into 200mm fabs.

Source: Global 200mm Fab Outlook, SEMI; October 2015

Source: Global 200mm Fab Outlook, SEMI; October 2015

Highlights of the results of the SEMI 200mm report include:

  • 36 facilities are expected to add 300,000 to 400,000 200mm wspm from 2015 through 2018.
  • Capacity investment is expected to total over US $3 billion during the 2015 to 2018 period.
  • Eight new facilities/lines are expected to begin operation from 2015 through 2018.
  • China and Southeast Asia are forecast to lead the expansion in 200mm fab capacity.

In this report, SEMI covers nearly 200 facilities using 200mm wafers, including facilities that are planned, under construction, installing new equipment, active, closing or closed, and fabs changing wafer size to and from 200mm. Analysis covers the years 1995 to 2018, with focus on developments in the recent past through 2018. The 80-page SEMI report offers graphs and tables in PDF slide format and details in Microsoft Excel. In addition, the report includes trend analysis for fab capacity and count; capacity additions for new and existing fabs; capacity loss for fabs closing or converting to other wafer sizes; 200mm equipment spending; and summary and highlights for each region.

SITRI, the innovation center for accelerating the development and commercialization of “More than Moore” (MtM) solutions to power the Internet of Things (IoT), today announced the opening of SITRI Innovations in Belmont, California. This new kind of hardware accelerator was launched into the Bay Area’s rich technology ecosystem during a recent event attended by many of Silicon Valley’s leading investors, technologists, entrepreneurs, and incubators.

SITRI Innovations addresses a gap that exists in the current “More than Moore” and IoT innovation ecosystem and provides a path for new entrepreneurs in the hardware space to bring their ideas to fruition. “More than Moore” is the next wave of semiconductor innovations such as MEMS, Sensors, Optoelectronics, RF, Bio, and micro-Energy that do not depend on feature-size driven CMOS technology (the “Moore’s Law”). The first of its kind for “More than Moore” and IoT hardware startups, SITRI provides entrepreneurs a full spectrum of services and resources designed to help them succeed in their development and commercialization phases.

“The Internet of Things represents a vast opportunity and ‘More than Moore’ technologies are at the heart of it,” said Charles Yang, CEO of SITRI Group. “However, the MtM silicon innovations needed requires a fusion of multi-disciplinary technologies which raises a new set of challenges in engineering and manufacturing, leaving the market open to only the largest and most sophisticated companies. SITRI Innovations addresses this by speeding up MtM innovation and commercialization, opening the IoT market to a much broader range of players and their ideas.”

By tapping into the global ecosystem for the MtM industry, SITRI Innovations can provide startups with the resources of large corporations to access the R&D platform and critical supply chain partners needed to achieve high efficiency and fast time to market. SITRI’s unique 360-degree platform offers support to the startups in all areas, from proof of concept to engineering to fab to market studies and industry supply chain.

“As a leading factor in the transition to the ‘More than Moore’ era, MEMS represent a huge future opportunity in the consumer, mobile, wearables, healthcare, biotech, and IoT markets,” said Dr. Kurt Peterson of the Silicon Valley Band of Angels, a veteran in the semiconductor and MEMS industry. “By serving as an innovation catalyst to the ‘More than Moore’ community, SITRI will be a key element in the future growth of the MEMS industry as well as the overall semiconductor market.”

“China is playing a vital part in the development of IoT and this is evident by the significant investment in creating a Shanghai technology cluster where SITRI is located,” said Jérémie Bouchaud, Senior Director, MEMS & Sensors at IHS. “SITRI plays a key role in fostering MEMS and sensor innovation in China to enable new IoT applications. By opening this new office, SITRI replicates the accelerator model of Shanghai and bridges innovation between China and Silicon Valley.”

A group of venture funds will be co-located with SITRI Innovations in its Belmont, California, offices including SummitView Capital, SVC Angel, Tsing Capital, Nautilus Ventures, TEEC Angel Fund, Oriza Ventures, Cenova Ventures, Magic Stone Alternative Investments, Cybernaut Investment, and Jiading Ventures.

The global automotive MEMS sensor market was valued at $2,600.5 million in 2014, and it is expected to grow at a CAGR of 6.0%, during the period 2015-2020, according to P&S Market Research. The government regulation towards passenger safety and environment has become more stringent in recent years, and therefore automotive manufacturers are forced to implement latest and advanced sensor based automotive safety features, such as Electronic stability control (ESC), Tire Pressure Monitoring Systems (TPMS), Advanced Driver Assistance Systems, (ADAS), Anti-lock braking system (ABS), and others. The development in the field of vehicle to infrastructure (V2I) and vehicle to vehicle communication (V2C) is providing new market opportunity for the low cost wireless MEMS sensors used in the communication and information technology. The limited foundry outsourcing of the MEMS sensor is one major road block for the low cost design, precise size, and mass production of the automotive MEMS sensor.

The automobile companies are investing heavily for the development of MEMS based energy harvester in automobiles, especially through the tire pressure monitoring systems (TPMS). Most of the TPMS systems available in the market are powered by battery with short life and hence, the energy harvesting can increase the product life cycle of the TPMS. The advancement in the IC fabrication technology has facilitated cost effective fabrication process of automotive MEMS. The modern IC fabrication technology provides monolithic integration of micro electro-mechanical structure, with signal processing, controlling and driving electronics.

The European Union mandates the electronic stability control (ESC) systems. According to the regulation, all new vehicles from November 2014 and onwards have to be operational with ESC active safety. Since 2009, the tire pressure monitoring system (TPMS) was mandated in Europe, while in U.S. it was mandated from 2000. The effective legislation in these countries insures the TPMS in all type of vehicles. On an average, 150 sensors are integrated within the luxury passenger cars in developed countries. The latest technology, such as connected car and data centric traffic management approach, and growing demand of hybrid car in the developed market is expected to drive the automotive MEMS sensor market during next few years.

Based on application, the automotive infotainment market is expected to witness the fastest growth (CAGR of 9.2%), during the forecast period. Among various type of automotive MEMS sensor, the pressure sensor led the automotive MEMS sensor market in 2014, with the market size of $ 763.1 million. The MEMS design in terms of different type of MEMS integration and application in automotive is changing continuously with the advent of advanced driver assistance systems (ADAS); connected car such as telematics, infotainment, and mobile based apps; safe car such as autonomous vehicles and V2X; and secure car such as automotive cyber security.

The information and data in the publication “Global Automotive MEMS Sensor Market Size, Share, Development, Growth and Demand Forecast to 2020” represent the research and analysis of data from various primary and secondary sources. A top-down approach has been used to calculate the global automotive MEMS market by type and application. P&S Market Research analysts and consultants interact with leading companies of the concerned domain, to substantiate every value of data presented in this report. The company bases its primary research on discussions with prominent professionals and analysts in the industry, which is followed by informed and detailed online and offline research.

The barrier for the entry in automotive MEMS sensor market for consumer-market oriented MEMS manufacturers is expected to be high, even during the forecast period. The top ten companies of MEMS automotive sensor accounted for about 80% of total market share in 2014. Major players of automotive MEMS market, such as Robert Bosch GmbH, have high proprietary learning curve, and absolute cost advantage. The new market opportunities are expected mainly from non-safety based automotive MEMS sensors used in GPS navigation, communication, and infrared sensors.

The key companies operating in the global automotive MEMS sensor market include ST Microelectronics N.V., Robert Bosch GmbH, Analog Devices Inc., Sensata Technologies Inc., Panasonic Corporation, Infineon Technologies AG, and Freescale Semiconductors Ltd.

VeriSilicon Holdings Co., Ltd. and Vivante Corporation today announced a definitive merger agreement under which the companies will be combined in an all-stock transaction. The combined company, to be called VeriSilicon Holdings Co., Ltd., will offer robust IP-centric, platform-based custom silicon solutions and end-to-end semiconductor turnkey services.

Highlights of the transaction include:

  • Revenue for the combined company of more than $180 million for the year ended December 31, 2014;
  • Expected to be accretive to VeriSilicon’s non-GAAP earnings;
  • Establishes richer IP portfolio with the addition of licensable graphic cores (GPU);
  • Expands opportunities in the automotive market with established top OEM customers;
  • Increases exposure and content in IoT applications, as well as mobility applications, including smartphones, tablets, and connected TVs;
  • Leverages VeriSilicon’s extensive IP portfolio, design services capabilities and established direct sales channels worldwide;
  • Expands Tier 1 customer base

With the addition of Vivante’s GPU and vision image processing solutions, VeriSilicon continues to build out its Silicon Platform as a Service (SiPaaSTM) offering. Vivante has an established global customer base of over 50 licensees and has shipped more than 300 million units. Additionally, Vivante is a recognized industry leader in GPU solutions for automotive display, visualization and vision processing as well as mass market IoT applications. The combined company will hold a patent portfolio of more than 75 issued and pending U.S. patents and maintain operations in eight countries.

“This transaction creates an extensive semiconductor IP portfolio that will now include GPU cores, vision image processors, digital signal processors, video codecs, mixed signal IP and foundry foundation IP,” said Wayne Dai, VeriSilicon chairman, president and chief executive officer. “We expect our combined technology and scale will enable us to further extend our franchises in the automotive, IoT, mobility, and consumer market segments. Additionally, we share a strong culture of innovation and creativity that will provide significant benefits to our semiconductor, system and Internet platform customers by delivering best-in-class IP, design services and turnkey ASICs. This Silicon Platform as a Service (SiPaaSTM) model enables our customers to deliver high-quality, differentiated products in the fastest and most cost-effective way possible.”

“Together, VeriSilicon and Vivante will be well positioned to achieve even greater success,” said Weijin Dai, Vivante chief executive officer. “Our technology has been instrumental in providing PC-quality performance and experience at mobile power levels to create life-like graphics across a number of key end market segments and applications. VeriSilicon shares our vision for providing exceptional technology solutions that meet the unique requirements of automotive and IoT customers, as well as mobility, consumer and gaming customers. Our complementary products and capabilities will enable the combined company to pursue significant new growth opportunities, while delivering even greater value to customers, employees and shareholders.”

By Lara Chamness, senior manager, market analysis, SEMI

Japan has long played a critical role in semiconductor manufacturing and is home to Flash Alliance, Fujitsu, Mitsubishi, Panasonic, Renesas, Rohm, Seiko Epson Corp, Sharp, Sony, Toshiba, and others. As a result, Japan accounts for the largest portion, 21 percent (including discretes), of the global total installed fab capacity in 2015 according to the SEMI Fab database. Of that fab capacity, 41 percent is 300 mm and 27 percent is 200 mm. As Yoichiro Ando detailed in his article last month, Japan is uniquely positioned to support the Internet of Things (IoT).

Japan_Well_Positioned_SGU_10_2015_1

Source: SEMI, 2015

Due to the presence of these established device manufacturers, Japan represents a significant portion of the new equipment and materials market; for the last two years, Japan represented 15 percent of the semiconductor equipment and materials market. While spending is expected to slightly decline in the region next year, it is anticipated that device manufacturers in Japan will still spend in the range of $12 billion on new equipment and materials next year.

Source: SEMI/SEAJ, SEMI; 2015

Source: SEMI/SEAJ, SEMI; 2015

In addition to hosting veteran device manufacturers, Japan is also home to leading equipment and materials suppliers such as Advantest, Canon, Dai Nippon Printing, Ebara, Hitachi Chemical, Hoya, Ibiden, JSR, Kyocera, Nikon, Tanaka, TEL, SCREEN, Shin-Etsu, SUMCO, Taiyo Nippon Sanso, TOK, Tokyo Seimitsu, and Ulvac, among others. It is estimated that equipment companies based in Japan account for about a third of the total equipment market, while materials suppliers headquartered in Japan supply around 50 percent of the world’s semiconductor materials on a revenue basis. The semiconductor manufacturing market in Japan is solid and is well positioned to support the evolving needs of the industry.

SEMICON Japan 2015 (December 16-18) in Tokyo will be an ideal venue to connect with Japan’s semiconductor supply chain companies as well as key IoT players in the region that will exhibit at “World of IoT,” a show-within-the-show showcase. For further information, visit: http://www.semiconjapan.org.

According to a new market research report on the “Chemical Mechanical Planarization Marketby type (Equipment & consumables), Application(IC manufacturing, MEMS & NEM, Optics and Others), Technology (Leading edge, More Than Moore’s, and Emerging), and Geography (North America, Europe, APAC and RoW) – Global Forecast to 2020”, published by MarketsandMarkets, the market is expected to grow at a CAGR of 6.83% between 2015 and 2020, and reach $4.94 Billion by 2020.

Chemical mechanical planarization is a critical process technology step in the semiconductor wafer fabrication process. In this process step, the top surface of the wafer is polished or planarized to create a flawless flat surface that is essential to make faster and more powerful semiconductor devices with the aid of chemical slurry & mechanical movements. The CMP tool is comprised a rotating platen, slurry, pad, holding ring, brush, and pad conditioner. The mechanical element of this system applies downward pressure to a wafer surface, while the chemical reaction increases the material removal rate. The value chain of the CMP market consists of different players, including semiconductor material suppliers, CMP integrated solution providers, semiconductor wafer suppliers, semiconductor device manufacturers, slurry & pad manufacturers, technology solution providers, and CMP equipment manufacturers.

The global Chemical Mechanical Planarization Market was worth USD 3.32 Billion in 2014, and it is expected to reach USD 4.94 Billion by 2020, at an estimated CAGR of 6.83% from 2015 to 2020. Though the CMP market is at the mature stage, it still continues to evolve depending on the end users. The industry is being forced to adopt much innovation in process technologies and applications; as a result, different CMP processes have been evolved with technology nodes and newer applications such as MEMS, advanced packaging, and advanced substrates. The growing demand for consumer electronic products, increasing need of wafer planarization, and increasing use of micro-electro-mechanical systems (MEMS) is driving the global CMP market.

The CMP equipment market is expected to grow at the highest CAGR of 8.32% from 2015 to 2020. The key factors behind the high growth of the CMP equipment market is the strong growth in semiconductor equipment and capital spending. The CMP consumables market was valued at USD 2.25 Billion in 2014 and is expected to reach to USD 3.21 billion by 2020. The Applied Materials, Inc. (U.S.) and Ebara Corporation (Japan) are the major CMP equipment suppliers for different integrated device manufacturers.

This CMP consumables market is dominated by major market players such as Cabot Microelectronics Corporation (U.S.), Fujimi Incorporated (Japan), and Dow Electronic Materials (U.S.).The CMP regional market is mainly dominated by Asia-Pacific, followed by North America and Europe. The Asia-Pacific region accounted for the largest market share of ~67% and is expected to grow at the highest CAGR of 7.40% during the forecast period, followed by North America. The countries in Asia-Pacific region such as Taiwan, South Korea, Japan, and China are investing more in semiconductor manufacturing to meet the increasing demand for consumer electronic products. This detailed market research study provides detailed qualitative and quantitative analysis of the global chemical mechanical planarization market. It provides a comprehensive review of major market drivers, restraints, opportunities, challenges, and key issues in the market.

CEA-Leti today announced that it has joined the GLOBALSOLUTIONS ecosystem as an ASIC provider, specifically to support GLOBALFOUNDRIES’ 22FDX (TM) technology platform.

Launched this summer, GLOBALFOUNDRIES’ 22FDX technology platform is the industry’s first 22nm FD-SOI semiconductor technology developed specifically to meet the ultra-low-power requirements of the next generation of connected devices. The versatility of the 22FDX platform is a result of unmatched design flexibility and intelligence, including software-controlled transistor body-biasing that provides real-time trade-offs between power and performance. Delivering FinFET-like performance and energy-efficiency at a cost comparable to 28nm planar technologies, the platform enables a new level of innovations on next-generation chips and sets new standards in-terms of user experience for Internet of Things (IoT), mainstream mobile, RF, and networking applications.

GLOBALSOLUTIONS was created more than five years ago to spur innovation in the semiconductor industry and assure chip designers receive world-class service from design conception to production. The ecosystem combines GLOBALSOLUTIONS’ internal resources with a broad spectrum of partners to efficiently enable the fastest time-to-volume for foundry customers.

“Together with our design services partners, we are able to offer a full suite of services and comprehensive turnkey solutions that confirms GLOBALFOUNDRIES’ leadership in providing high-performance customized products in the FD-SOI and ASIC markets,” said Gary Patton, chief technology officer and head of worldwide R&D at GLOBALFOUNDRIES. “Our expanded partnership with Leti further reflects our commitment to find design implementations that will accelerate time-to-volume and deliver ultra-low-power solutions to our customers.”

Earlier this year, Leti assigned a team of experts to GLOBALFOUNDRIES’ Dresden, Germany, Fab 1 to support ramp up of the platform. As an ecosystem partner, Leti will provide GLOBALFOUNDRIES’ customers circuit-design IP, including for its back-bias feature for FD-SOI, which enables exceptional performance at very low voltages with low leakage.

“This strategic partnership with GLOBALFOUNDRIES positions Leti to help a broad range of designers utilize FD-SOI technology’s significant strengths in ultra-low-power and high performance in their IoT and mobile devices with 22nm technology,” said Marie Semeria, Leti CEO. “In addition, it gives both sides’ customers increased access to our respective technologies. This kind of partnership is a key part of Leti’s global strategy.”