Tag Archives: letter-pulse-tech

Brewer Science, Inc., today from SPIE Advanced Lithography 2018 introduced its OptiLign commercial-quality directed self-assembly (DSA) material set developed in collaboration with Arkema. The OptiLign system currently includes three materials required for self-assembly: block copolymers, neutral layers and guiding layers. Developed together for optimal performance, these DSA materials are manufactured on Brewer Science’s commercial manufacturing equipment and provide a cost-effective path to advanced-node wafer patterning processes for feature sizes down to 12nm.

Although Moore’s law is slowing, many foundries and integrated device manufacturers are continuing efforts to scale to finer nodes. As feature sizes shrink more aggressively with each node, the limits of manufacturing equipment are being stretched, and it has become cost-prohibitive to create them using existing patterning processes like self-aligned double patterning and self-aligned quadruple patterning. While the industry is close to the commercialization of extreme ultraviolet (EUV) lithography, the tool cost will limit its use. DSA offers an alternative to existing processes and can be performed on existing, installed fab tool sets. Additionally, DSA will serve as a complement to EUV when it becomes fully available.

“Taking OptiLign materials from pilot line to commercial-scale production represents the next significant milestone in making DSA a viable option for semiconductor manufacturing,” said

Dr. Srikanth (Sri) Kommu, executive director, Semiconductor Business, Brewer Science Inc. “Historically, the industry has relied on equipment enhancements to reach the next technology node. Now, materials solutions are stepping in to provide that edge and extend tool capabilities. The OptiLign product family is an example of this paradigm shift.”

Brewer Science’s OptiLign family of DSA products provides all the materials needed for self-assembly. Block copolymers define the pattern. Neutral layers allow the pattern to be formed on each layer. Lastly, guiding layers tell the material which way and how to orient. All the materials are designed to work together for optimal performance, and are dependent on material and surface energy. Additionally, through its partnership with Arkema, Brewer Science has tapped into a way to deliver DSA materials that allows for consistent feature sizes via a unique polymer production process. This process allows for the large scale needed to support an entire technology node, as well as a unique polymer quality and reproducibility, all of which sets OptiLign materials apart from the competition.

“Feature size is built into the molecular structure of the DSA materials and can vary from batch to batch, so securing a sub-nanometric reproducibility can be challenging,” explained Dr. Ian Cayrefourcq, Director of Emerging Technologies, Arkema. “Arkema’s special process for formulating large batches of polymers of the same size allows Brewer Science to supply a fab with consistent feature sizes for the technology node’s life span.”

To learn more about OptiLign materials, visit Brewer Science’s booth #110 at SPIE Advanced Lithography 2018, February 25 – March 1, 2018 in San Jose, California.

Researchers at North Carolina State University have developed a new technique that allows them to print circuits on flexible, stretchable substrates using silver nanowires. The advance makes it possible to integrate the material into a wide array of electronic devices.

Silver nanowires have drawn significant interest in recent years for use in many applications, ranging from prosthetic devices to wearable health sensors, due to their flexibility, stretchability and conductive properties. While proof-of-concept experiments have been promising, there have been significant challenges to printing highly integrated circuits using silver nanowires.

Silver nanoparticles can be used to print circuits, but the nanoparticles produce circuits that are more brittle and less conductive than silver nanowires. But conventional techniques for printing circuits don’t work well with silver nanowires; the nanowires often clog the printing nozzles.

“Our approach uses electrohydrodynamic printing, which relies on electrostatic force to eject the ink from the nozzle and draw it to the appropriate site on the substrate,” says Jingyan Dong, co-corresponding author of a paper on the work and an associate professor in NC State’s Edward P. Fitts Department of Industrial & Systems Engineering. “This approach allows us to use a very wide nozzle – which prevents clogging – while retaining very fine printing resolution.”

“And because our ‘ink’ consists of a solvent containing silver nanowires that are typically more than 20 micrometers long, the resulting circuits have the desired conductivity, flexibility and stretchability,” says Yong Zhu, a professor of mechanical engineering at NC State and co-corresponding author of the paper.

“In addition, the solvent we use is both nontoxic and water-soluble,” says Zheng Cui, a Ph.D. student at NC State and lead author of the paper. “Once the circuit is printed, the solvent can simply be washed off.”

What’s more, the size of the printing area is limited only by the size of the printer, meaning the technique could be easily scaled up.

The researchers have used the new technique to create prototypes that make use of the silver nanowire circuits, including a glove with an internal heater and a wearable electrode for use in electrocardiography. NC State has filed a provisional patent on the technique.

“Given the technique’s efficiency, direct writing capability, and scalability, we’re optimistic that this can be used to advance the development of flexible, stretchable electronics using silver nanowires – making these devices practical from a manufacturing perspective,” Zhu says.

SILTECTRA GmbH today reports that it has validated a breakthrough capability for its COLD SPLIT technology. COLD SPLIT is a proven wafer-thinning technique for substrate materials like silicon carbide (SiC), gallium nitride (GaN), silicon (Si) and sapphire. A disruptive laser-based technology, COLD SPLIT out-performs traditional grinding methods by thinning wafers to 100 microns and below in minutes, with virtually no material loss. Now, thanks to a novel adaptation known as “twinning,” SILTECTRA has demonstrated that COLD SPLIT can reclaim substrate material generated (and previously wasted) during backside grinding, and create a second fully optimizable bonus wafer in the process.

The breakthrough enriches SILTECTRA’s wafering solution and promises substantial benefits for manufacturers of SiC-based ICs like power electronics and RF devices. SILTECTRA believes that the solution’s combined advantages which include fewer process steps, potentially lower equipment costs, and ultra-efficient use of substrate material, could reduce total device production costs by as much as 30 percent.

SILTECTRA validated the process by producing a GaN on SiC high electron-mobility power transistor (HEMT) device on a split-off (or “twinned”) wafer at its new state-of-the-art facility in Dresden. The HEMT showed results that were superior to a non- COLD- SPLIT-enabled HEMT when measured for CMP characterization, as well as GaN EPI, metal layer and gate layer outcomes.

Leading integrated device manufacturers (IDMs) are now evaluating the technology.

SILTECTRA’s CEO, Dr. Harald Binder, called “twinning” the “holy grail” on the company’s technology roadmap, and noted that the breakthrough was achieved ahead of schedule. “We were confident that we could not only produce a faster and cheaper thinning solution for substrates like SiC, but that we could double the value for customers by extending COLD SPLIT’s reach to create a twin wafer from material previously lost during backside grinding,” he said. “We’re thrilled to report the validation milestone, and excited to help leading IDMs realize new performance and cost benefits in their manufacturing operations.”

New substrate materials present new lower-cost manufacturing imperatives

SiC is expected to be the go-to substrate for the production of power electronics, RF, and other devices. Devices made from SiC have a smaller form-factor than those manufactured on silicon, and can handle higher voltages and frequencies with lower power consumption. Although SiC is substantially more expensive than silicon, the market is growing fast thanks to the substrate’s inherent enabling advantages. Not surprisingly, IDMs are seeking new technologies to cut the cost of producing devices based on SiC and other costly substrates.

Until now, the traditional method to thin wafers to less than 20 percent of the original thickness was grinding, which involves the use of expensive diamond grinding wheels. While valued as a reliable solution for silicon, certain challenges make it difficult for grinding to achieve the extreme level of thinness required for SiC-based devices. Unlike silicon, which is relatively soft, SiC is an extraordinarily hard substance (second only to the hardness of a diamond), which makes cutting and grinding arduous and expensive. What’s more, grinding is not a fast process, and the cost of consumables for the grinding wheels can be substantial. Finally, grinding generates material loss, and the process lowers overall yield, which further drives up cost.

The COLD SPLIT advantage

SILTECTRA engineered COLD SPLIT as a faster, higher-yield, lower-cost alternative to grinding for advanced substrates like SiC. The technique employs a chemical-physical process that uses thermal stress to generate a force that splits the material with exquisite precision along the desired plane. The solution accomplishes the thinning task in minutes instead of an hour like traditional grinding tools, and cuts material loss by as much as 90 percent.

The “twinning” breakthrough extends COLD SPLIT’s capabilities. The adaptation provides a simple way for IDMs to avoid expensive kerf-loss when slicing ingots or boules into wafers. It effectively replaces backside grinding processes, while producing an identical wafer primed for a second device run.

SILTECTRA is qualifying the process on customers’ SiC material at its newly extended facility in Dresden, while preparing to apply the COLD SPLIT technique to additional substrate materials. The company also provides wafering and thinning services at the same location.

Microsemi Corporation (Nasdaq: MSCC), a provider of semiconductor solutions differentiated by power, security, reliability and performance, today announced the ZL70123, a new radio frequency (RF) base station module for implantable devices utilizing the Medical Implant Communication Service (MICS) RF band. The new module was developed specifically for external controllers and monitors of implantable medical devices.

Microsemi’s ZL70123 base station module, when combined with the company’s existing ZL70323 implant module, provides a complete solution for achieving the highest performance in next-generation medical networks (Med-Net). Both modules are based on the latest generation of Microsemi’s ultralow power (ULP), MICS-band, radio transceiver chip, which has been deployed in more than three million implantable devices over the last 10 years.

Radio frequency technology is increasingly being used in a wide variety of medical implantable applications, including cardiac care, physiological monitoring (e.g., insulin monitoring), pain management and obesity treatments. According to a recent report from P&S Research, the market for active implantable devices, which includes pacemakers, defibrillators and neurostimulators, is expected to grow at an eight percent compound annual growth rate (CAGR) over the next five years, reaching nearly $29 billion by 2023. Microsemi’s new ZL70123 base station module is ideally suited for the unique needs of this growing market.

“RF engineering is a highly specialized discipline, and leveraging Microsemi’s deep expertise in this area allows our customers to reduce design times and minimize project risk,” said Martin McHugh, Microsemi’s product line manager for implant modules. “With Microsemi’s two-module radio link, companies can now focus research dollars and development efforts on new therapies that enable a better quality of life.”

Creating the perfect wearable device to monitor muscle movement, heart rate and other tiny bio-signals without breaking the bank has inspired scientists to look for a simpler and more affordable tool.

Now, a team of researchers at UBC’s Okanagan campus have developed a practical way to monitor and interpret human motion, in what may be the missing piece of the puzzle when it comes to wearable technology.

What started as research to create an ultra-stretchable sensor transformed into a sophisticated inter-disciplinary project resulting in a smart wearable device that is capable of sensing and understanding complex human motion, explains School of Engineering Professor Homayoun Najjaran.

The sensor is made by infusing graphene nano-flakes (GNF) into a rubber-like adhesive pad. Najjaran says they then tested the durability of the tiny sensor by stretching it to see if it can maintain accuracy under strains of up to 350 per cent of its original state. The device went through more than 10,000 cycles of stretching and relaxing while maintaining its electrical stability.

“We tested this sensor vigorously,” says Najjaran. “Not only did it maintain its form but more importantly it retained its sensory functionality. We have further demonstrated the efficacy of GNF-Pad as a haptic technology in real-time applications by precisely replicating the human finger gestures using a three-joint robotic finger.”

The goal was to make something that could stretch, be flexible and a reasonable size, and have the required sensitivity, performance, production cost, and robustness. Unlike an inertial measurement unit–an electronic unit that measures force and movement and is used in most step-based wearable technologies–Najjaran says the sensors need to be sensitive enough to respond to different and complex body motions. That includes infinitesimal movements like a heartbeat or a twitch of a finger, to large muscle movements from walking and running.

School of Engineering Professor and study co-author Mina Hoorfar says their results may help manufacturers create the next level of health monitoring and biomedical devices.

“We have introduced an easy and highly repeatable fabrication method to create a highly sensitive sensor with outstanding mechanical and electrical properties at a very low cost,” says Hoorfar.

To demonstrate its practicality, researchers built three wearable devices including a knee band, a wristband and a glove. The wristband monitored heartbeats by sensing the pulse of the artery. In an entirely different range of motion, the finger and knee bands monitored finger gestures and larger scale muscle movements during walking, running, sitting down and standing up. The results, says Hoorfar, indicate an inexpensive device that has a high-level of sensitivity, selectivity and durability.

In January, Gigaphoton Inc. (Head office: Oyama City, Tochigi Prefecture. President & CEO: Katsumi Uranaka), a major manufacturer of lithography light sources, announced the shipment of an ArF Excimer Laser for advanced immersion exposure (lithography) devices, the “GT65A” Unit 1, as a new product that meets the growing demand for semiconductors in recent years. The new technology of the GT65A significantly contributes to the rise in productivity of lithography equipment by providing stable operation of the laser and improvement of process margins.

The GT65A will also deliver a 50% reduction in service downtime. This key feature is realized by increasing chamber lifetime by 30% as well as improving maintenance efficiency through the utilization of extensive service data expertise acquired through many years of successful service execution.

In addition, the stabilization technology “eMPL Solid” and the control function “hMPL,” which form the spectrum control function, enable the improvement of CD uniformity as well as expanding process latitude.

Furthermore, the GT65A has successfully eliminated the need to use helium gas. Due to this, we are able to contribute to enhancing customers’ sustainability and CSR activities by not only reducing environmental impact, but also by greatly reducing risks associated with future helium gas supply deficits and price increases.

Katsumi Uranaka, President & CEO of Gigaphoton commented, “With the boom in recent years of the semiconductor market, improving the availability of lithography equipment is an important issue for each manufacturer. With the new technology in line with our new roadmap ‘RAM Enhancement,’ we have further strengthened and improved the Reliability, Availability and Maintainability of lithography light sources, contributing to the semiconductor manufacturing industry.”

Peter Trefonas, Ph.D., corporate fellow in Dow Electronic Materials, has recently been elected a Fellow of SPIE, for achievements in design for manufacturing and compact modeling.

SPIE, the international society for optics and photonics, will promote 73 new Fellows of the Society this year, to recognize the significant scientific and technical contributions of each in the multidisciplinary fields of optics, photonics, and imaging. SPIE Fellows are honored for their technical achievements and for their service to the general optics community and to SPIE in particular.

Trefonas has proven himself to be a leader in advanced lithographic technology with numerous highly cited and pioneering papers in key areas of advanced lithography. He contributed to the fundamental investigations of resist chemical mechanisms, such as polyphotolysis, a mechanism of nonlinear development and dissolution rate models based on first principles. He also contributed papers on percolation and reactive diffusion mechanisms. Trefonas authored some of the first papers on shot noise and stochastic effects, as well as the first paper on fractal analysis of development, and the first paper on information theory of lithography. He is coauthor on a simple method to measure the photoacid quantum efficiency, and contributed to a prominent paper on extreme ultraviolet (EUV) stochastics and stochastic development model. He has also published groundbreaking work on deterministic bottom-up/top-down materials designs.

Trefonas has given extensive service to the global optics community, through published literature and his role in technical conferences. He authored significant sections of the ITRS Semiconductor Roadmap, content dedicated to emerging materials. He organized and chaired a conference on emerging display materials for the Materials Research Society, chaired multiple conferences on microlithography for IEEE, and organized and chaired a conference on directed self-assembly (DSA) and block copolymers for the American Physical Society. As a lecturer, he has given full-day tutorials on lithography and antireflectant coatings at multiple locations in the US, Europe, and Asia. He is also helping to build up the next generation of innovators, responsible for hiring and mentoring over 40 scientists who are currently active and contributing to the science and materials of great interest to the optical community.

A long-time member of SPIE, Trefonas has also given significant service to the Society. He has published 41 papers in the Proceedings of SPIE and has published papers in the Journal of Micro/Nanolithography, MEMS, and MOEMS. He is currently an active reviewer of papers on lithographic materials for SPIE journals.

Trefonas’ work has been recognized with many prestigious honors and awards. Among them are the Society of Chemical Industry Perkin Medal for contributions in industrial chemistry, the American Chemical Society Heroes of Chemistry Award for organic fast plasma etch antireflectants, the C. Grant Willson Award for best oral paper at SPIE Advanced Lithography, Rohm and Haas Technology Awards for antireflectants and 248nm resists, the Shipley R&D Innovation Award for i-line photoresists, and the Monsanto Ex Obscura Award for creativity in innovation. He has also recently been elected as a member of the National Academy of Engineering.

Trefonas will be recognized as a new SPIE Fellow at SPIE Advanced Lithography later this month in San Jose, California.

Cymer, a developer of lithography light sources used by chipmakers to pattern advanced semiconductor chips, today announced the first shipment of the newly qualified XLR 800ix light source that improves performance and productivity, as well as lowers cost-of-ownership for leading-edge argon fluoride (ArF) immersion lithography systems.

Several leading semiconductor manufacturers received early access upgrades to the XLR 800ix and their performance exceeded specifications, achieving less than two femtometers total bandwidth variation in every exposure field. This is about 10 times better than existing technology used today.

As chipmakers extend the use of ArF immersion light sources with multi-patterning to the sub-10 nm technology nodes, it becomes increasingly critical to reduce variability across all processes. In partnership with chipmakers, Cymer found that lower bandwidth variation can lead to lower critical dimension (CD) variation, which improves patterning performance both within and wafer-to-wafer. The XLR 800ix introduces new bandwidth stabilization technology, enabling an eight times improvement in bandwidth measurement fidelity, which can be used to tightly control bandwidth stability.

“We are seeing a strong pull to upgrade our installed base light sources to the newest configuration because the XLR 800ix’s performance far exceeds customers’ expectations,” said David Knowles, vice president of the product development group at Cymer. “From technology improvements to application enhancements, the XLR 800ix brings together all our strengths into one platform to deliver powerful results for our customers.”

The XLR 800ix also delivers productivity and cost-of-ownership improvements, enabling a 33% increase in time between service intervals to 40 billion pulses. This is driven by Cymer’s new field-tested chamber and optics modules, which are in production in more than 250 XLR systems. These enhancements also support Cymer’s sustainability initiatives, by lowering total system power consumption by several percentage points.

Nanoscale light sources and nanoantennas already found a wide range of applications in several areas, such as ultra compact pixels, optical detection or telecommunications. However, the fabrication of nanostructure-based devices is rather complicated since the materials typically used have a limited luminescence efficiency. What is more, single quantum dots or molecules usually emit light non-directionally and weakly. An even more challenging task is placing a nanoscale light source precisely near a nanoantenna.

A research group from ITMO University managed to combine a nanoantenna and a light source in a single nanoparticle. It can generate, enhance and route emission via excited resonant modes coupled with excitons. “We used hybrid perovskite as a material for such nanoantennas,” says Ekaterina Tiguntseva, first author of the publication. “Unique features of perovskite enabled us to make nanoantennas from this material. We basically synthesized perovskite films, and then transferred material particles from the film surface to another substrate by means of pulsed laser ablation technique. Compared to alternatives, our method is relatively simple and cost-effective.”

While studying the obtained perovskite nanoparticles, the scientists discovered that their emission can be enhanced if its spectra match with the Mie-resonant mode. “Currently, scientists are particularly interested in Mie-resonances related to dielectric and semiconductor nanoparticles,” explains George Zograf, Engineer at the Laboratory of Hybrid Nanophotonics and Optoelectronics at ITMO University. “Perovskites used in our work are semiconductors with luminescence efficiency much higher than that of many other materials. Our study shows that combination of excitons with Mie resonance in perovskite nanoparticles makes them efficient light sources at room temperature.”

In addition, the radiation spectrum of the nanoparticles can be changed by varying the anions in the material. “The structure of the material remains the same, we simply use another component in the synthesis of perovskite films. Therefore, it is not necessary to adjust the method each time. It remains the same, yet the emission color of our nanoparticles changes,” says Ekaterina.

The scientists will continue research on light-emitting perovskite nanoantennas using various components for their synthesis. In addition, they are developing new designs of perovskite nanostructures which may improve ultra compact optical devices.

Computer algorithms might be performing brain-like functions, such as facial recognition and language translation, but the computers themselves have yet to operate like brains.

“Computers have separate processing and memory storage units, whereas the brain uses neurons to perform both functions,” said Northwestern University’s Mark C. Hersam. “Neural networks can achieve complicated computation with significantly lower energy consumption compared to a digital computer.”

This is the memtransistor symbol overlaid on an artistic rendering of a hypothetical circuit layout in the shape of a brain. Credit: Hersam Research Group

This is the memtransistor symbol overlaid on an artistic rendering of a hypothetical circuit layout in the shape of a brain. Credit: Hersam Research Group

In recent years, researchers have searched for ways to make computers more neuromorphic, or brain-like, in order to perform increasingly complicated tasks with high efficiency. Now Hersam, a Walter P. Murphy Professor of Materials Science and Engineering in Northwestern’s McCormick School of Engineering, and his team are bringing the world closer to realizing this goal.

The research team has developed a novel device called a “memtransistor,” which operates much like a neuron by performing both memory and information processing. With combined characteristics of a memristor and transistor, the memtransistor also encompasses multiple terminals that operate more similarly to a neural network.

Supported by the National Institute of Standards and Technology and the National Science Foundation, the research was published online today, February 22, in Nature. Vinod K. Sangwan and Hong-Sub Lee, postdoctoral fellows advised by Hersam, served as the paper’s co-first authors.

The memtransistor builds upon work published in 2015, in which Hersam, Sangwan, and their collaborators used single-layer molybdenum disulfide (MoS2) to create a three-terminal, gate-tunable memristor for fast, reliable digital memory storage. Memristor, which is short for “memory resistors,” are resistors in a current that “remember” the voltage previously applied to them. Typical memristors are two-terminal electronic devices, which can only control one voltage channel. By transforming it into a three-terminal device, Hersam paved the way for memristors to be used in more complex electronic circuits and systems, such as neuromorphic computing.

To develop the memtransistor, Hersam’s team again used atomically thin MoS2 with well-defined grain boundaries, which influence the flow of current. Similar to the way fibers are arranged in wood, atoms are arranged into ordered domains – called “grains” – within a material. When a large voltage is applied, the grain boundaries facilitate atomic motion, causing a change in resistance.

“Because molybdenum disulfide is atomically thin, it is easily influenced by applied electric fields,” Hersam explained. “This property allows us to make a transistor. The memristor characteristics come from the fact that the defects in the material are relatively mobile, especially in the presence of grain boundaries.”

But unlike his previous memristor, which used individual, small flakes of MoS2, Hersam’s memtransistor makes use of a continuous film of polycrystalline MoS2 that comprises a large number of smaller flakes. This enabled the research team to scale up the device from one flake to many devices across an entire wafer.

“When length of the device is larger than the individual grain size, you are guaranteed to have grain boundaries in every device across the wafer,” Hersam said. “Thus, we see reproducible, gate-tunable memristive responses across large arrays of devices.”

After fabricating memtransistors uniformly across an entire wafer, Hersam’s team added additional electrical contacts. Typical transistors and Hersam’s previously developed memristor each have three terminals. In their new paper, however, the team realized a seven-terminal device, in which one terminal controls the current among the other six terminals.

“This is even more similar to neurons in the brain,” Hersam said, “because in the brain, we don’t usually have one neuron connected to only one other neuron. Instead, one neuron is connected to multiple other neurons to form a network. Our device structure allows multiple contacts, which is similar to the multiple synapses in neurons.”

Next, Hersam and his team are working to make the memtransistor faster and smaller. Hersam also plans to continue scaling up the device for manufacturing purposes.

“We believe that the memtransistor can be a foundational circuit element for new forms of neuromorphic computing,” he said. “However, making dozens of devices, as we have done in our paper, is different than making a billion, which is done with conventional transistor technology today. Thus far, we do not see any fundamental barriers that will prevent further scale up of our approach.”