Tag Archives: letter-pulse-top

Samsung Electronics today announced that it broke ground on a new EUV (extreme ultraviolet) line in Hwaseong, Korea.

With this new EUV line, Samsung will be able to strengthen its leadership in single nanometer process technology by responding to market demand from various applications, including mobile, server, network, and HPC (high performance computing), for which high performance and power efficiency are critical.

The new facility is expected to be completed within the second half of 2019 and start production ramp-up in 2020. The initial investment in the new EUV line is projected to reach USD 6 billion by 2020 and additional investment will be determined depending on market circumstances.

“With the addition of the new EUV line, Hwaseong will become the center of the company’s semiconductor cluster spanning Giheung, Hwaseong and Pyeongtaek in Korea,” said Kinam Kim, President & CEO of Device Solutions at Samsung Electronics. “The line will play a pivotal role as Samsung seeks to maintain a competitive edge as an industry leader in the coming age of the Fourth Industrial Revolution.”

Samsung has decided to utilize cutting-edge EUV technology starting with its 7-nanometer (nm) LPP (Low Power Plus) process. This new line will be set up with EUV lithography equipment to overcome nano-level technology limitations. Samsung has continued to invest in EUV R&D to support its global customers for developing next-generation chips based on this leading-edge technology.

Samsung Electronics Hwaseong Campus EUV line bird’s eye view

Samsung Electronics Hwaseong Campus EUV line bird’s eye view

The top five semiconductor metrology/inspection equipment vendors grew 17.7% in 2017 according to the report “Metrology, Inspection, and Process Control in VLSI Manufacturing”, recently published by The Information Network, (www.theinformationnet.com) a New Tripoli, PA-based market research company.

The top three metrology/inspection suppliers were KLA-Tencor, Applied Materials Hitachi High Tech, Nanometrics, and Rudolph Technologies. These five companiesincreased their collective share of the overall global market to 87.0% in 2017, up from 82.4% in 2016.

metrology market

The report covers 27 different sectors and subsectors. With its large market share, KLA-Tencor led most of the sectors and subsectors. Applied Materials led the Defect Review Sector, Hitachi High Tech led the CD Inspection sector, Nanometrics held a large share of the Thin Film Metrology Sector, and Rudolph Technology led the Back-End Inspection market.

China and memory (DRAM and 3D NAND) are currently driving demand for the global wafer fab equipment market.

Orders for KLA-Tencor equipment from native Chinese customers nearly tripled in 2017 and this strong momentum is expected to continue into 2018.

China continues to be a strong focus for Rudolph Technologies. Revenue from China has more than doubled in the last two years. Rudolph’s revenue from advanced memory applications in both three DRAM and 3D NAND grew by 80% year-over-year as customers in Korea increased capacity to meet growing global demand for advanced memory used in cloud computing and mobile applications.

Imec continues to advance the readiness of EUV lithography with particular focus on EUV single exposure of Logic N5 metal layers, and of aggressive dense hole arrays. Imec’s approach to enable EUV single patterning at these dimensions is based on the co-optimization of various lithography enablers, including materials, metrology, design rules, post processing and a fundamental understanding of critical EUV processes. The results, that will be presented in multiple papers at this week’s 2018 SPIE Advanced Lithography Conference, are aimed at significantly impacting the technology roadmap and wafer cost of near-term technology nodes for logic and memory.

With the industry making significant improvements in EUV infrastructure readiness, first insertion of EUV lithography in high-volume manufacturing is expected in the critical back-end-of-line metal and via layers of the foundry N7 Logic technology node, with metal pitches in the range of 36–40nm.  Imec’s research focuses on the next node (32nm pitch and below), where various patterning approaches are being considered. These approaches vary considerably in terms of complexity, wafer cost, and time to yield, and include variations of EUV multipatterning, hybrid EUV and immersion multipatterning, and EUV single expose. At SPIE last year, imec presented many advances in hybrid multipatterning and revealed various challenges of the more cost-effective EUV single exposure solution. This year, imec and its partners show considerable progress towards enabling these dimensions with EUV single exposure.

Imec’s path comprises a co-optimization of various lithography enablers, including resist materials, stack and post processing, metrology, computational litho and design-technology co-optimization, and a fundamental understanding of EUV resist reaction mechanisms and of stochastic effects. Based on this comprehensive approach, imec has demonstrated promising advances including initial electrical results, on EUV single exposure focusing on two primary use cases: logic N5 32nm pitch metal-2 layer and 36nm pitch contact hole arrays.

Working with its many materials partners, imec assessed different resist materials strategies, including chemically amplified resists, metal-containing resists and sensitizer-based resists.  Particular attention was paid to the resist roughness, and to nano-failures such as nanobridges, broken lines or missing contacts that are induced by the stochastic EUV patterning regime. These stochastic failures are currently limiting the minimum dimensions for single expose EUV. Based on this work, imec delved into the fundemental understanding of stochastics and identified the primary dependencies influencing failures. Additionally, various metrology techniques and hybrid strategies have been employed to ensure an accurate picture of the reality of stochastics. Imec will report on this collective work, demonstrating the performance of various line-space and contact hole resists.

As resist materials advances alone will likely be inssufient to meet the requirements, imec has also focused on co-optimizing the photomask, film stack, EUV exposures and etch towards an integrated patterning flow to achieve full patterning of the structures. This was done using computational lithography techniques such as optical proximity correction and source mask optimization, complemented by design-technology co-optimization to reduce standard library cell areas. Finally, etch-based post-processing techniques aimed at smoothing the images after the lithography steps yields encouraging results for dense features. Co-optimization of these mulitple knobs is key to achieving optimized patterning and edge placement error control.

Greg McIntyre, Director of advanced patterning at imec summarizes: “We feel these are very promising advances towards enabling EUV to reliably achieve single patterning at these aggressive dimensions.  This would significantly impact the cost effectiveness of patterning solutions for the next few technology nodes.”

Screen Shot 2018-02-26 at 2.18.24 PM

North America-based manufacturers of semiconductor equipment posted $2.36 billion in billings worldwide in January 2018 (three-month average basis), according to the January Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.  The billings figure is 1.4 percent lower than the final December 2017 level of $2.40 billion, and is 27.2 percent higher than the January 2017 billings level of $1.86 billion.

“The strong billings levels from late 2017 have carried over into the new year,” said Ajit Manocha, president and CEO of SEMI. “We maintain a positive outlook for the 2018 market, marking three years of growth for equipment spending.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg)
Year-Over-Year
August 2017
$2,181.8
27.7%
September 2017
$2,054.8
37.6%
October 2017
$2,019.3
23.9%
November 2017
$2,052.3
27.2%
December 2017 (final)
$2,398.4
28.3%
January 2018 (prelim)
$2.364.8
27.2%

Source: SEMI (www.semi.org), February 2018
SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. SEMI also has a long history of tracking semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases. These powerful tools provide access to spending forecasts, capacity ramp, technology transitions, and other information for over 1,000 fabs worldwide. For an overview of available SEMI market data, please visit www.semi.org/en/MarketInfo.

Entering 2018 on solid ground


February 22, 2018

By Walt Custer, Custer Consulting Group

2017 finished on an upturn – both in the USA and globally.  Based on consolidated fourth-quarter actual and estimated revenues of 213 large, global electronic manufactures, sales rose in excess of 7 percent in 4Q’17 vs. 4Q’16 (Chart 1).  This was the highest global electronic equipment sales growth rate since the third quarter of 2011. Because some companies in our sample didn’t close their financial quarter until the end of January, final results will take a few more weeks – but all evidence points to a very strong fourth quarter of last year.

Custer1-Electronic-Equipment

 

Using regional (country specific) data (Chart 2), the normal, consumer electronics driven seasonal downturn began again in January.  However the recent year-over-year growth is still substantial.  On a total electronic equipment revenue basis, January 2018 was up almost 19.5 percent over January 2017.

Custer2-World-Electronic

Because this regional data in local currencies was converted to U.S. dollars at fluctuating exchange, the dollar denominated-growth was amplified by currency exchange effects.  At constant exchange the January growth was only 14 percent.   That is, when the stronger non-U.S. currencies were converted to weakening dollars, the dollar-denominated January 2018 fluctuating exchange growth was amplified by 5.5 percent.

Chart 3 shows 4Q’17/4Q’16 growth of the domestic electronic supply chain.  U.S. electronic equipment shipments were up 9.1 percent.  Only computer equipment and non-defense aircraft sales declined in the fourth quarter.  And of note, SEMI equipment shipments to North America rose almost 31 percent!

Custer3-US-Electronic-Supply

 

Chart 4 shows estimated fourth-quarter growth for the world electronic supply chain.  Only “Business & Office” equipment revenues declined in 4Q’17 vs. 4Q’16.

Custer4-Global-Electronic

Total global electronic equipment sales increased more than 7 percent in the fourth quarter and SEMI equipment revenues rose 32 percent.

2017 was a strong year and 2018 is off to a good start!  The 2017 lofty growth rates will temper, but this current expansion will likely continue.  Watch the monthly numbers!

Originally published on the SEMI blog.

The success and proliferation of integrated circuits has largely hinged on the ability of IC manufacturers to continue offering more performance and functionality for the money.  Driving down the cost of ICs (on a per-function or per-performance basis) is inescapably tied to a growing arsenal of technologies and wafer-fab manufacturing disciplines as mainstream CMOS processes reach their theoretical, practical, and economic limits. Among the many levers being pulled by IC designers and manufacturers are: feature-size reductions, introduction of new materials and transistor structures, migration to larger-diameter silicon wafers, higher throughput in fab equipment, increased factory automation, three-dimensional integration of circuitry and chips, and advanced IC packaging and holistic system-driven design approaches.

For logic-oriented processes, companies are fabricating leading-edge devices such as high-performance microprocessors, low-power application processors, and other advanced logic devices using the 14nm and 10nm generations (Figure 1).  There is more variety than ever among the processes companies offer, making it challenging to compare them in a fair and useful way.  Moreover, “plus” or derivative versions of each process generation and half steps between major nodes have become regular occurrences.

For five decades, the industry has enjoyed exponential improvements in the productivity and performance of integrated circuit technology.  While the industry has continued to surmount obstacles put in front of it, the barriers are getting bigger.  Feature size reduction, wafer diameter increases, and yield improvement all have physical or statistical limits, or more commonly…economic limits.  Therefore, IC companies continue to wring every bit of productivity out of existing processes before looking to major technological advances to solve problems.

The growing design and manufacturing challenges and costs have divided the integrated circuit world into the haves and have-nots.  In the June 1999 Update to The McClean Report, IC Insights first described its “Inverted Pyramid” theory, where it was stated that the IC industry was in the early stages of a new era characterized by dramatic restructuring and change.  It was stated that the marketshare makeup in various IC product segments was becoming “top heavy,” with the shares held by top producers leaving very little room for remaining competitors. Although the Update described the emerging inverted pyramid phenomenon from a marketshare perspective, an analogous trend can be seen regarding IC process development and fabrication capabilities. The industry has evolved to the point where only a very small group of companies can develop leading-edge process technologies and fabricate leading-edge ICs.

Figure 1

Figure 1

The ten largest semiconductor R&D spenders increased their collective expenditures to $35.9 billion in 2017, an increase of 6% compared to $34.0 billion in 2016. Intel continued to far exceed all other semiconductor companies with R&D spending that reached $13.1 billion.  In addition to representing 21.2% of its semiconductor sales last year, Intel’s R&D spending accounted for 36% of the top 10 R&D spending and about 22% of total worldwide semiconductor R&D expenditures of $58.9 billion in 2017, according to the 2018 edition of The McClean Report that was released in January 2018.  Figure 1 shows IC Insights’ ranking of the top semiconductor R&D spenders, including both semiconductor manufacturers and fabless suppliers.

Figure 1

Figure 1

Intel’s R&D expenditures increased just 3% in 2017, below its 8% average annual growth rate since 2001, according to the new report.  Still, Intel’s R&D spending exceeded the combined R&D spending of the next four companies—Qualcomm, Broadcom, Samsung, and Toshiba—listed in the ranking.

Underscoring the growing cost of developing new IC technologies, Intel’s R&D-to-sales ratio has climbed significantly over the past 20 years.  In 2017, Intel’s R&D spending as a percent of sales was 21.2%, down from an all-time high of 24.0% in 2015.  In 2010, the ratio was 16.4%, 14.5% in 2005, 16.0% in 2000, and just 9.3% in 1995.

Qualcomm—the industry’s largest fabless IC supplier—was again ranked as second-largest R&D spender, a position it first achieved in 2012.  Qualcomm’s semiconductor-related R&D spending was down 4% in 2017, after a 7% drop in 2016, and it was close to being passed up by third place Broadcom and fourth placed Samsung, whose R&D spending increased 4% and 19%, respectively.

Despite increasing its R&D expenditures by 19% in 2017, Samsung had the lowest investment-intensity level among the top-10 R&D spenders with research and development funding at 5.2% of sales last year.  Samsung’s 49% increase in semiconductor revenue in 2017 (driven by strong growth in DRAM and NAND flash memory) lowered its R&D as a percent of sales ratio from 6.5% in 2016.  Micron Technology’s revenues surged 77% in 2017, but its research and development expenditures grew 8%, resulting in an R&D/sales ratio of 7.5% compared to 12.5% in 2016.  Similarly, SK Hynix’s sales climbed 79% in 2017, while its research and development spending increased 14% in the year, which resulted in an R&D/sakes ratio of 6.5% versus 10.2% in 2016.

Fifth-ranked Toshiba and sixth-ranked Taiwan Semiconductor Manufacturing Co. (TSMC) each allocated about the same amount for R&D spending in 2017.  Toshiba’s R&D spending was down 7% while TSMC had one of the largest increases in R&D spending among the top 10 companies shown in the figure. TSMC’s R&D expenditures grew by 20% as the foundry raced rivals Samsung and GlobalFoundries in launching new process technologies, while its sales rose 9% to $32.2 billion in the year.

Rounding out the top-10 list were MediaTek, Micron, Nvidia, which moved from 11th place in 2016 to 9th position to displace NXP in the 2017 ranking, and SK Hynix.  Collectively, the top-10 R&D spenders increased their outlays by 6% in 2017, two points more than the 4% R&D increase for the entire semiconductor industry.  Combined R&D spending by the top 10 exceeded total spending by the rest of the semiconductor companies ($35.9 billion versus $23.0 billion) in 2017.

A total of 18 semiconductor suppliers allocated more than more than $1.0 billion for R&D spending 2017.  The other eight manufacturers were NXP, TI ST, AMD, Renesas, Sony, Analog Devices, and GlobalFoundries.

By Emmy Yi, SEMI Taiwan

 

Since Apple unveiled iPhone X with face-recognition functionality in early November 2017, interest in 3D sensing technology has reached fever pitch and attracted huge investments across the related supply chains. The global market for 3D depth sensing is estimated at US$1.5 billion in 2017 and will grow at a CAGR of 209 percent to US$14 billion in 2020, Trendforce estimates. This trend pushes up demand for Vertical Cavity Surface Emitting Laser (VCSEL), a key component for 3D depth sensing technology. SEMI estimates that the global VCSEL market will grow at a CAGR of 17.3 percent between 2016 and 2022, and the total value of the market is expected to reach US$1 billion by 2022.

This SEMI 3D Depth Sensing & VCSEL Technology Seminar attracted more than 600 industry experts.

This SEMI 3D Depth Sensing & VCSEL Technology Seminar attracted more than 600 industry experts.

In light of the significant market growth potential and business opportunities, SEMI Taiwan recently organized the 3D Depth Sensing & VCSEL Technology Seminar, where industry experts from Qualcomm, Lumentum, Himax, Vertilite and IQE gathered to explore the technology trends and potentials from different perspectives. Following are the key takeaways from the Forum:

Not just iPhoneX! Expect a boom in 3D depth sensing

The real-time and depth cue feature of the 3D sensor is essential to enable the next-generation computer vision (CV) applications. Improvements in 3D recognition, machine learning, and 3D image segmentation promise to stoke significant growth across a wide range of applications including long-range automotive LiDAR, short-distance AR/VR devices, facial recognition in the low-light environment inside a car and more.

SEMI_Member_Forum_2_450px

Improvements in component R&D, algorithm writing, and supply chain integration will further expand the 3D sensing market.

Why VCSELs?

Structured light and time of flight (TOF) are currently the two key approaches to 3D sensing, and VCSEL is the core light source for both technologies. VCSEL’s advantages of small footprint, low cost, low power consumption, circular beam shape, optical efficiency, wavelength stability over temperature and high modulating rate are all indispensable for 3D sensing to flourish. In the longer term, improvements in component R&D, algorithm writing, and supply chain integration will further expand the 3D sensing market.

Optimistic about the proliferation of 3D sensing applications, The SEMI Taiwan Power and Compound Semiconductor Committee plans to organize a special interest group to better respond to technology evolution and rising applications of the emerging optoelectronic semiconductor and to drive innovations and development of the industry. SEMICON Taiwan 2018 will also include a theme pavilion and a series of events to enable more communications and collaborations. To learn more, please contact Emmy Yi, SEMI Taiwan, at [email protected] or +886.3.560.1777 #205.

The latest market research report by Technavio on the global semiconductor IP market predicts a CAGR of close to 10% during the period 2018-2022.

The report segments the global semiconductor IP market by application (healthcare, networking, industrial automation, automotive, consumer electronics, and mobile computing devices), by end-user (fabless semiconductor companies, IDMs, and foundries), and by geography (North America, APAC, and Europe). It provides a detailed illustration of the major factors influencing the market, including drivers, opportunities, trends, and industry-specific challenges.

Here are some key findings of the global semiconductor IP market, according to Technavio hardware and semiconductor researchers:

  • Complex chip designs and use of multi-core technologies: a major market driver
  • Proliferation of wireless technologies: emerging market trend
  • North America dominated the global semiconductor IP market with 47% share in 2016

Complex chip designs and use of multi-core technologies: a major market driver

Nowadays, the electronic device manufacturers develop products that have better functionalities while offering power-packed performances as compared to their earlier products. This is driving the semiconductor chip manufacturers to ensure that their IC designs are capable of and reliable for offer maximum use in terms of performance, which is propelling the product development process in the semiconductor industry.

Players in the market are competing against each other based on timely delivery of offerings while ensuring high performing and multi-functional devices. Semiconductor manufacturers are incorporating new and complex architecture and designs of semiconductor ICs to deliver high-end multi-functional products. For example, 3D ICs are compact, consume less power, and are more efficient in performance. They have a complex electronic circuit design and manufacturing process. Such complexity tends to hamper the overall productivity of the industry.

 

Proliferation of wireless technologies: emerging market trend

In the last 25 years, IoT has evolved a great deal. Internet Protocol version 6 (IPv6) that was in the development phase since 1990 is replacing Internet Protocol version 4 (IPv4). This allows many hosts to connect to the Internet and increases the data traffic that can be transmitted.

The popularity of mobile computing devices has helped the network traffic to grow at an exponential rate. This led to the continued deployment of next-generation wireless standards such as 4G and 5G, and wireless technologies such as Bluetooth low energy (BLE), Wi-Fi, ZigBee, and Z-Wave across the globe. Such wireless standards and wireless technologies offer a wireless connection that is equivalent to broadband connections that have resulted in an increase in the number of users accessing the Internet from anywhere and at any time.

According to a senior analyst at Technavio for research on semiconductor equipment, “At present, ZigBee is one of the three leading wireless technology used for connected devices such as connected bulbs, remote controls, smart meters, smart thermostats, and set-top boxes. High-bandwidth and content-rich applications such as audio, video, gaming, and Internet use the Wi-Fi technology. BLE is used for low power applications and is primarily used to connect wearables to smartphones. ZigBee is a low power version of Wi-Fi which is appropriate for smart home applications such as lighting, remote controls, security, and thermostats.”

Global market opportunities

In terms of regional dominance, North America led the global semiconductor IP market, followed by APAC and Europe in 2017. However, APAC is expected to grow at a faster rate due to increased prevalence of orthopedic surgical procedures. The emerging economies like China and Taiwan contributed to the growth of this market in APAC.

The market share of North America is expected to decrease during the forecast period due to factors such as strong governmental policies against exports from the governments of South Korea, Japan, China, and India, who want to become completely self-sufficient in the semiconductor industry.

 

Siemens announced it has entered into an agreement to acquire Oulu, Finland-based Sarokal Test Systems Oy, a provider of test solutions for fronthaul networks that are comprised of links between the centralized radio controllers and the radio heads (or masts) at the “edge” of a cellular network. Sarokal products are used by chipset vendors, fronthaul equipment manufacturers, and telecom operators to develop, test and verify their 4G and 5G network devices from the early design stages through implementation and field-testing.

“The planned acquisition of Sarokal reinforces our ongoing commitment to EDA and the IC industry,” said Tony Hemmelgarn, president and CEO of Siemens PLM Software. “Building on our acquisition of Mentor Graphics, we continue to make strategic investments which leverage Mentor’s existing strengths and enable Siemens to expand its offerings to the IC industry.”

Sarokal’s products are used to test transmission specifications across multiple domains. Its tester product family addresses the entire development and maintenance flow for cellular and wired transmission system testing. The technology is especially designed to detect radio frequency (RF) problems. With Sarokal’s foresight into the requirements of 5G testing, their testing models were created from the beginning for both the virtual (digitalization) environment as well as the physical testing environment.

“Sarokal has been on the forefront of the development of the 5G specification and its requirements for fronthaul networks since its inception. The 5G specification aims to greatly enhance performance for mobile broadband, network operation and Internet of Things (IoT) communication, and this requires new test methodologies,” said Harri Valasma, CEO at Sarokal. “Becoming part of Siemens and integrating our technology into the Veloce emulation platform will give us greater visibility into early customer adoption of 5G, which can help us maintain our leadership as this segment is forecasted to grow rapidly.”

“The addition of Sarokal’s one-of-a-kind fronthaul testing expertise is expected to provide our Veloce emulator customers with a unique advantage,” said Eric Selosse, vice president and general manager, Mentor Emulation Division, a Siemens business. “Sarokal’s tester technology in conjunction with Mentor’s Veloce emulation platform will enable customers to “shift left” the validation of 4G and 5G designs for accurate and timely pre- and post-silicon testing.”

The transaction is expected to close during the first quarter of calendar 2018, subject to receipt of regulatory approvals and other customary closing conditions. The terms of the transaction were not disclosed.

Siemens PLM Software, a business unit of the Siemens Digital Factory Division, is a global provider of software solutions to drive the digital transformation of industry, creating new opportunities for manufacturers to realize innovation. With headquarters in Plano, Texas, and over 140,000 customers worldwide, Siemens PLM Software works with companies of all sizes to transform the way ideas come to life, the way products are realized, and the way products and assets in operation are used and understood.