Tag Archives: letter-pulse-top

Samsung Electronics Co., Ltd. has announced new V-NAND (Vertical NAND) memory solutions and technology that will address the pressing requirements of next-generation data processing and storage systems. With the rapid increase of data-intensive applications across many industries using artificial intelligence and Internet of Things (IoT) technologies, the role of flash memory has become extremely critical in accelerating the speed at which information can be extracted for real-time analysis.

At the inaugural Samsung Tech Day and this year’s Flash Memory Summit, Samsung is showcasing solutions to address next-generation data processing challenges centered around the company’s latest V-NAND technology and an array of solid state drives (SSDs). These solutions will be at the forefront of enabling today’s most data-intensive tasks such as high-performance computing, machine learning, real-time analytics and parallel computing.

“Our new, highly advanced V-NAND technologies will offer smarter solutions for greater value by providing high data processing speeds, increased system scalability and ultra-low latency for today’s most demanding cloud-based applications,” said Gyoyoung Jin, executive vice president and head of Memory Business at Samsung Electronics. “We will continue to pioneer flash innovation by leveraging our expertise in advanced 3D-NAND memory technology to significantly enhance the way in which information-rich data is processed.”

Samsung heralds era of 1-terabit (Tb) V-NAND chip

Samsung announced a 1Tb V-NAND chip that it expects to be available next year. Initially mentioned in 2013, during unveiling of the industry’s first 3D NAND, Samsung has been working to enable its core memory technologies to realize one terabit of capacity on a single chip using a V-NAND structure.

The arrival of a 1Tb V-NAND chip next year will enable 2TB of memory in a single V-NAND package by stacking 16 1Tb dies and will represent one of the most important memory advances of the past decade.

NGSFF (Next Generation Small Form Factor) SSD to improve server storage capacity and IOPS

Samsung is sampling the industry’s first 16-terabyte (TB) NGSFF SSD, which will dramatically improve the memory storage capacity and IOPS (input/output operations per second) of today’s 1U rack servers. Measuring 30.5mm x 110mm x 4.38mm, the Samsung NGSFF SSD provides hyper-scale data center servers with substantially improved space utilization and scaling options.

Utilizing the new NGSFF drive instead of M.2 drives in a 1U server can increase the storage capacity of the system by four times. To highlight the advantages, Samsung demonstrated a reference server system that delivers 576TB in a 1U rack, using 36 16TB NGSFF SSDs. The 1U reference system can process about 10 million random read IOPS, which triples the IOPS performance of a 1U server equipped with 2.5-inch SSDs. A petabyte capacity can be achieved using only two of the 576TB systems.

Samsung plans to begin mass producing its first NGSFF SSDs in the fourth quarter of this year, while working to standardize the form factor with industry partners.

Z-SSD: optimized for systems requiring fast memory responsiveness

Following last year’s introduction of its Z-SSD technology, Samsung introduced its first Z-SSD product, the SZ985. Featuring ultra-low latency and high performance, the Z-SSD will be used in data centers and enterprise systems dealing with extremely large, data-intensive tasks such as real-time “big data” analytics and high-performance server caching. Samsung is collaborating with several of its customers on integrating the Z-SSD in upcoming applications.

The Samsung SZ985 requires only 15 microseconds of read latency time which is approximately a seventh of the read latency of an NVMe SSD. At the application level, the use of Samsung’s Z-SSDs can reduce system response time by up to 12 times, compared to using NVMe SSDs.

With its fast response time, the new Z-SSD will play a pivotal role in eliminating storage bottlenecks in the enterprise and in improving the total cost of ownership (TCO).

New approach to storage with proprietary Key Value SSD technology

Samsung also introduced a completely new technology called Key Value SSD. The name refers to a highly innovative method of processing complex data sets. With the sharply increasing use of social media services and IoT applications, which contribute to the creation of object data such as text, image, audio and video files, the complexity in processing this data increases substantially.

Today, SSDs convert object data of widely ranging sizes into data fragments of a specific size called “blocks.” The use of these blocks requires implementation processes consisting of LBA (logical block addressing) and PBA (physical block addressing) steps. However, Samsung’s new Key Value SSD technology allows SSDs to process data without converting it into blocks. Samsung’s Key Value instead assigns a “key” or specific location to each “value,” or piece of object data – regardless of its size. The key enables direct addressing of a data location, which in turn enables the storage to be scaled. Samsung’s Key Value technology enables SSDs to scale-up (vertically) and scale-out (horizontally) in performance and capacity. As a result, when data is read or written, a Key Value SSD can reduce redundant steps, which leads to faster data inputs and outputs, as well as increasing TCO and significantly extending the life of an SSD.

 

Toshiba America Electronic Components, Inc. (TAEC) announces the new SG6 series, the latest Toshiba client SSD to feature 64-layer, 3-bit-per-cell TLC (triple-level cell) BiCS FLASH to deliver better transfer speeds and power efficiency. This family of SSDs is designed for mainstream desktops and notebooks, consumer upgrades, as well as applications needing data security.

Toshiba SG6 Series (Photo: Business Wire)

Toshiba SG6 Series (Photo: Business Wire)

With increased performance over the prior generation, SG6 features the latest SATA technology to deliver up to 550 MB/s sequential read and 535 MB/s sequential write, and up to 100,000 and 85,000 random read/write IOPS delivering enhanced application performance. Furthermore, compared to its previous generation, active power consumption was decreased by up to 40% enabling increased battery life for mobile computing.

The SG6 series comes in both M.2 2280 and 2.5-type SATA standardized form factors and includes 256GB, 512GB, and 1024GB capacities. Addressing business applications requiring security, SG6 offers advanced firmware security and self-encrypting drive (SED) models supporting TCG Opal Version 2.01.

“Toshiba is committed to further accelerating the adoption of SSDs in client PCs,” said Neville Ichhaporia, director client and data center SSD marketing at Toshiba America Electronic Components, Inc. “Our new SG6 SATA SSD series demonstrates that and delivers a cost-effective solution on a mature, proven platform with an excellent balance of power and performance in a variety of form factors and capacities.”

The SG6 series will be showcased at the 2017 Flash Memory Summit in Santa Clara, CA, from August 8 to 10 in booth #407. Samples are currently shipping to customers with general availability later this year.

Worldwide semiconductor capital spending is projected to increase 10.2 percent in 2017, to $77.7 billion, according to Gartner, Inc. This growth rate is up from the previous quarter’s forecast of 1.4 percent, due to continued aggressive investment in memory and leading-edge logic which is driving spending in wafer-level equipment (see Table 1).

“Spending momentum is more concentrated in 2017 mainly due to strong manufacturing demand in memory and leading-edge logic. The NAND flash shortage was more pronounced in the first quarter of 2017 than the previous forecast, leading to over 20 percent growth of etch and chemical vapor deposition (CVD) segments in 2017 with a strong capacity ramp-up for 3D NAND,” said Takashi Ogawa, research vice president at Gartner.

According to Gartner’s latest view, the next cyclical down cycle will emerge in 2018 to 2019 in capital spending, compared with 2019 to 2020 in the previous quarter’s forecast. “Spending on wafer fab equipment will follow a similar cycle with a peak in 2018. While the most likely scenario will still keep positive growth in 2018, there is a concern that the growth will turn negative if the end-user demand in key electronics applications is weaker than expected,” said Mr. Ogawa.

Table 1: Worldwide Semiconductor Capital Spending and Equipment Spending Forecast, 2016-2020
(Millions of Dollars)

2016

2017

2018

2019

2020

Semiconductor Capital Spending

70,568.9

77,794.5

77,443.5

71,814.8

73,239.5

Growth (%)

9.1

10.2

-0.5

-7.3

2.0

Wafer Fab Equipment, Including Wafer-Level Packaging

37,033.1

43,661.0

43,690.4

40,515.8

41,342.7

Growth (%)

11.4

17.9

0.1

-7.3

2.0

Other Semiconductor Capital Spending

33,535.8

34,133.5

33,753.2

31,299.0

31,896.8

Growth (%)

6.8

1.8

-1.1

-7.2

1.9

Source: Gartner (July 2017)

This research is produced by Gartner’s Semiconductor Manufacturing program. This research program, which is part of the overall semiconductor research group, provides a comprehensive view of the entire semiconductor industry, from manufacturing to device and application market trends.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $97.9 billion during the second quarter of 2017, an increase of 5.8 percent over the previous quarter and 23.7 percent more than the second quarter of 2016. Global sales for the month of June 2017 reached $32.6 billion, an uptick of 2.0 percent over last month’s total of $32.0 billion, and a surge of 23.7 percent compared to the June 2016 total of $26.4 billion. Cumulatively, year-to-date sales during the first half of 2017 were 20.8 percent higher than they were at the same point in 2016. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry has enjoyed impressive sales growth midway through 2017, posting its highest-ever quarterly sales in Q2 and record monthly sales in June,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales into the Americas market were particularly robust in June, and all regional markets saw growth of at least 18 percent year-over-year. Conditions are favorable for continued market growth in the months ahead.”

Regionally, sales increased compared to June 2016 in the Americas (33.4 percent), China (25.5 percent), Asia Pacific/All Other (19.5 percent), Europe (18.3 percent), and Japan (18.0 percent). Sales also were up across all regions compared to last month: the Americas (5.1 percent), Europe (1.9 percent), China (1.5 percent), Japan (1.0 percent), and Asia Pacific/All Other (0.8 percent).

June 2017

Billions

Month-to-Month Sales                              

Market

Last Month

Current Month

% Change

Americas

6.27

6.59

5.1%

Europe

3.11

3.16

1.9%

Japan

2.95

2.98

1.0%

China

10.25

10.41

1.5%

Asia Pacific/All Other

9.43

9.50

0.8%

Total

32.00

32.64

2.0%

Year-to-Year Sales                         

Market

Last Year

Current Month

% Change

Americas

4.94

6.59

33.4%

Europe

2.68

3.16

18.3%

Japan

2.52

2.98

18.0%

China

8.29

10.41

25.5%

Asia Pacific/All Other

7.95

9.50

19.5%

Total

26.38

32.64

23.7%

Three-Month-Moving Average Sales

Market

Jan/Feb/Mar

Apr/May/Jun

% Change

Americas

5.96

6.59

10.5%

Europe

2.96

3.16

7.1%

Japan

2.84

2.98

4.8%

China

10.06

10.41

3.4%

Asia Pacific/All Other

9.02

9.50

5.4%

Total

30.84

32.64

5.8%

The III-N semiconductor family has attracted significant research attention over the last 25 years, resulting in intensive patenting activity, with a substantial increase during the past decade. More than 80,000 patents and patent applications related to III-N technology have been published worldwide since the early 1990s, announce KnowMade’s analysts. In such a dynamic III-N market, it is essential to understand the technology challenges and the market needs as well as to track related patents. Therefore, industrial companies need to anticipate changes, quickly detect business opportunities, mitigate risks, and make strategic decisions.

KnowMade, System Plus Consulting and Yole Développement, all part of Yole Group of Companies combine their expertise to develop relevant services and high-added value reports dedicated to the III-N technology. Based on technology changes, market evolution and IP strategy, the group is covering the overall GaN industry from LED, diode and laser to RF applications as well as other III-N materials. What is the status of the III-N semiconductor field? Yole Group of Companies proposes an overview of this industry.

III-nitride

The Technology Intelligence & IP strategy consulting company, KnowMade presents today a new service to follow the industry evolution and get a comprehensive understanding of the technical challenges and company’s market positioning through an IP approach. III-N Patent Watch service is monthly updates dedicated to the III-N related patents. With a useful Excel database presenting the latest patent applications, newly granted patents, expired or abandoned, patent transfers and patent litigation and more, the Patent Watch service is a powerful tool of strategic analysis to track competitors, partners and customers and identify new entrants. Patent Watch also allows companies to identify business opportunities as well as analyze the risks for business development.

Under this service, the technology intelligence and IP strategy consulting company is tracking the IP of more than 100 players involved in the III-N sector. The take-off of patenting activity took place in the 2000s with a first wave of patent publications. A second wave started in 2010 while first commercial GaN products, collaborations, mergers, and acquisitions emerged… III-N Patent Watch service from KnowMade help the companies to get a clear view of the market evolution, understand the IP strategies, and anticipate the industry changes and much more.

In parallel, System Plus Consulting and Yole Développement are strongly involved in the GaN industry, representing the biggest market of the III-N semiconductor materials family. Both companies propose a huge collection of reverse engineering and costing analyses and technical and market reports to highlight the technology innovations, markets adoption and give a quantification of these markets. According to Yole Développement, the global GaN market including LED, RF, Power and laser, was estimated to be worth US$16 Billion in 2016 and should reach US$20 Billion by 2020 at a 5% CAGR between 2016 and 2020. Indeed the overall GaN industry is today mainly boosted by newly emerging markets.

IC Insights has revised its outlook and analysis of the IC industry and presented its new findings in the Mid-Year Update to The McClean Report 2017, which originally was published in January 2017.  Entering the second half of the year, it is clear the IC industry is on course for a much stronger upturn than was initially forecast in January.  IC Insights now expects the IC market to increase 16% in 2017 due to exceptional growth in the DRAM and NAND flash memory markets. The DRAM market is now forecast to grow 55% and the NAND flash market is now expected to rise 35% this year—in both cases, almost entirely due to fast-rising prices rather than unit growth.  Excluding these two markets, the overall IC market growth is forecast to show just 6% year-over-year growth (Figure 1).  The expected 16% increase would be the first double-digit gain for the IC market since it expanded by 33% in 2010—the recession-recovery year—and the fifth double-digit increase for the IC market since 2000.

ic insights

As seen in the figure, the DRAM market has had a notable impact on total IC market growth in recent years. With market surges of 32% and 34% in 2013 and 2014, respectively, the DRAM market alone boosted the worldwide IC market growth rate by three percentage points in 2013 and four percentage points in 2014.

At $64.2 billion, the DRAM market is forecast to be by far the largest single product category in the IC industry in 2017, exceeding the expected second-ranked MPU market for standard PCs and servers ($47.1 billion) by $17.1 billion this year.

Overall, IC Insights’ global economic outlook remains on course with initial projections covered in The McClean Report. Electronic system production, capital spending as a percent of sales, and IC wafer capacity added were unchanged from the original outlook.  However, other factors and conditions that contribute to the forecast were upgraded slightly in the Mid-Year Update. For example, the worldwide GDP forecast was upgraded by 0.1 point to 2.7% for 2017, marginally ahead of what is considered to be the global recession threshold of 2.5% growth.  IC Insights believes that through the forecast period, annual IC market growth rates will closely track with the performance of worldwide GDP growth.

Following a fairly strong first half of growth, China’s 2017 GDP was raised to 6.8% for 2017 from the original forecast of 6.3%.  Also, IC Insights upgraded its U.S GDP forecast to 2.1% in the Mid-Year Updatefrom 2.0% in January. While the U.S. economy is far from perfect, it is currently one of the most significant positive driving forces in the worldwide economy.  A falling unemployment rate, PMI figures of 57.0 and 55.8 in the first and second quarters of this year, and relatively low oil prices should help the U.S. economy sustain its modest growth in the second half of this year. Growth rates for IC unit shipments, IC average selling price, and semiconductor capital spending were also revised slightly higher.

Additional details and commentary regarding the updated IC forecasts for the 2017-2021 timeperiod are covered in IC Insights’ Mid-Year Update to The McClean Report 2017.

As the global TV market continues to struggle with unit volume growth overall in 2017 — now projected to decline for the second year in a row — attention has turned to the most profitable market segments. This includes larger screen sizes and advanced technologies like OLED, quantum dots, 4K and HDR, each of which helps boost average selling prices and profits. In fact, OLED TV revenues are forecast to grow 71 percent year-over-year in 2017, while 4K TV revenues will increase 31 percent year-over-year, according to IHS Markit (Nasdaq: INFO). A number of brands have adopted OLED technology into their TV lineups in 2017, including Sony, joining LG Electronics, the primary promoter of OLED.

In 2016, the share of TV shipments at $1,000 and higher price points amounted to 5 percent of units, but more than 20 percent of dollars. Largely this is driven by the rapid share growth of 4K, especially at the largest screen sizes, where the retail premium for 4K has held remarkably steady without impacting average size growth.

Within the $1,000 and higher market segment, OLED TV share has grown significantly during the past eight quarters, from 2.4 percent in first quarter 2015 to 13.8 percent in first quarter 2017. Looking forward, IHS Markit is forecasting OLED TV shipments to grow from 723k units in 2016, to 6.6 million units in 2021. However, due to the very high average selling price of OLED, the unit share of the $1,000-plus market will increase to a peak of 59 percent in 2019, before declining as 8K LCD TVs begin shipping with very high prices as well.

The average selling price of a 4K OLED TV in 2017, forecast at $2,247,  is nearly 6 times greater than the average LCD TV, and three times greater when looking at just the 50-inch-plus and larger size category. However, the introduction of quantum dot enabled LCD TVs more directly competes with OLED TVs at the highest price points. Quantum dot LCD TVs are expected to account for 4 percent of LCD TV shipments in 2017, rising to 15 percent by 2021, and exceeding OLED TV shipments in the process. Samsung is the dominant brand in the quantum dot LCD TV category, accounting for 90 percent of shipments in first quarter 2017.

By 2020, 8K LCD TVs will have launched in all regions, primarily at 65-inch and 75-inch screen sizes. At the early introduction stages, 65-inch 8K LCD TVs will carry a 35 percent premium against 65-inch 4K OLED TVs, but gradually reduce as capacity rapidly increases in LCD fabs optimized for 65-inch-plus screen sizes.

TECHCET CA, an advisory service firm providing electronic materials information, today announced that the silicon wafer supply for semiconductor device fabrication is forecasted to appreciably lag demand starting next year, and could remain in shortage through the year 2021 despite investments in China. Silicon wafer area demand is forecasted to steadily increase at a CAGR of ~3.1% over the 2016-2021 period to reach over 13,000 million square inches (MSI). Executives of silicon wafer suppliers have stated that average selling prices have remained too low to allow for investment in 300mm expansions, as detailed in a quarterly update to the TECHCET Critical Materials Report, “Silicon Wafers Market & Supply-Chain.”

The silicon wafer supply-chain is dominated by two suppliers–Shin-Etsu Handotai and SUMCO–combining to capture almost two-thirds of the global wafer market in 2016, and the top five representing over 92% of total revenues. The silicon wafer market is maturing as evidenced by recent mergers and acquisitions, the two most notable being the acquisition of SunEdison Semi by GlobalWafers (Taiwan) and the assumption of majority ownership of LG Siltron by SK Holdings (Korea).

“Over the last five years, the average selling price per square inch of semiconductor-grade silicon wafers has declined by about a third and more than a half from the 2007 level,” explained Michel Walden, lead author of the report and senior technology analyst with TECHCET. “However, current tightness in the supply-chain has led to greater stability and even price increases in some cases, all of which is likely needed for the long-term health of the wafer suppliers.”

Over the past few years, silicon suppliers decommissioned roughly 25% of the peak capacity for 200mm wafers. Of the remaining 200mm capacity, roughly 65% of the total demand is for epitaxial (epi) wafers, and a series of epi service companies have embraced this opportunity and provide a variety of layer configurations for their customers.

In-line metrology methods used during extreme wafer thinning process pathfinding and development are introduced.

BY M. LIEBENS, A. JOURDAIN, J. DE VOS, T. VANDEWEYER, A. MILLER, E. BEYNE, imec, Leuven, Belgium & S. LI, G. BAST, M. STOERRING, S. HIEBERT, A. CROSS, KLA-Tencor Corporation, Milpitas, California

The pace of innovation in device packaging techniques has never been faster or more interesting as at the present time. Previously, data were sent through wires where in recent packages, components are connected directly using different 3D interconnect technologies. As the 3D interconnect density is increasing exponentially, pitches need to reduce to 5μm and below. Current interconnect technologies of 3D-SIC (3D-Stacked IC) do not offer such high densities. Parallel front-end of line wafer processing in combination with wafer-to-wafer (W2W) bonding and extreme wafer thinning steps in the 3D-SOC (3D System On Chip) integration technology schemes, as depicted in FIGURE 1, enable the increase of 3D interconnect density.

Screen Shot 2017-07-28 at 1.58.33 PMScreen Shot 2017-07-28 at 1.58.39 PM

During the extreme wafer thinning process pathfinding and development, different thinning techniques like grinding, polishing and etching were evaluated in [1] and [2] to target a final Si thickness specification of 5μm. For the comparison of the thinning techniques, multiple success criteria were defined to which the thinning process must initially comply. Firstly, the final Si thickness (FST) across the wafer needs to be within certain limits to achieve, for example, a stable via-last etch process with requirements to land on correct metal layers. Secondly, the thinning process may not induce damage on the top Si across the wafer and especially at the wafer edge which would directly impact the physical yield of the complete wafer stack. Finally, the wafer surface nanotopography (NT), shape and flatness need to be in control to ensure proper subsequent W2W bonding when going to multi- wafer stacks beyond N=2. To allow us to achieve these challenging criteria the metrology systems used must cope with areas of the wafer previously deemed to be in the “minimal care zone” of 1 – 2mm from the wafer edge. The wafer edge characterization must also go hand in hand with patterned wafer topography after thinning to maximize physical wafer yield.

In this paper, the in-line metrology methods used during the extreme wafer thinning process pathfinding and development are introduced. These metrology tools supplied results that enabled us to determine where the extreme wafer thinning process can be improved. The same techniques can eventually be used to validate the improvements and to monitor process stability when processes are released for volume production.

Metrology methods

Wafer Level Interferometry. For FST measurement and wafer surface shape and NT, a patterned wafer geometry system (KLA-Tencor’s WaferSightTM PWG) was used. This is a dual Fizeau interferometry system and simultaneously measures both the front surface and back surface height of patterned wafers at high spatial resolution. During the measurement, the wafer is supported in a vertical position to reduce any wafer distortion. The whole wafer acquisition is completed in a single shot allowing measurement of the front and back surface topography as well as wafer flatness and edge roll-off.

This tool is specifically designed for wafer geometry measurements with 1nm measurement precision and has previously been used to qualify the impact of wafer geometry on CMP in [3] and [4] and to determine the NT of a full wafer post CMP [5]. Using the device layout, the full-wafer NT map can be divided into individual dies and the range or peak-valley (PV) value can be the output for each individual die.

For this paper, the patterned wafer geometry (PWG) system is used to measure wafer thickness at multiple steps during W2W bonding and extreme wafer thinning to derive the final Si thickness of the top wafer after thinning. The thickness results as supplied by PWG is the relative height variation measured by interfer- ometry, with respect to the local absolute wafer thickness measured by a capacitive sensor before the interferometry measurement is performed. The tool can supply 2D and 3D representations of the wafer thickness measurement at high spatial resolution as depicted in FIGURE 2.

Screen Shot 2017-07-28 at 1.58.53 PM

Wafer Edge Inspection and Metrology. The all-surface wafer inspection and metrology system utilized (KLA-Tencor’s CIRCL-APTM) contains an edge inspection module. This module uses: (1) a laser scanning setup revolving around the wafer bevel; and, (2) a lateral edge profile camera acquiring images of the wafer edge while the wafer is rotating. The laser scan comprises the laser, multi-channel optics and photodetectors/photomultiplier tube (PMT).

The lateral edge profile images are used to measure and quantify the edge shape and edge trim dimensions (see FIGURE 3). Based on the edge shape, an optimal trajectory of the revolving optics is calculated for profile-corrected inspection to ensure proper incident of light on the wafer sample and to obtain good signal-to-noise ratio.

Screen Shot 2017-07-28 at 1.59.00 PM

The revolving laser scanner is used to perform simulta- neous edge inspection and metrology using brightfield, darkfield and phase-contrast modes to capture a broad range of wafer edge defect types with sensitivity down to 0.5μm. Images are acquired in the different contrast modes from all zones comprising the wafer edge, i.e. top and bottom near-edge (5mm), top and bottom bevel, and apex. Part of a full wafer edge inspection image, including notch, is shown in FIGURE 4.

Screen Shot 2017-07-28 at 1.59.07 PM

Inspection is performed basically by comparing neigh- boring pixels on a tangential line. Pixels with a contrast or gray value difference exceeding a certain user-defined threshold are considered to be part of defects. Using rule-based binning techniques and by defining regions of interest and care areas, a high defect classification accuracy and purity of the defects of interest can be achieved by the implemented defect classification strategy.

Metrology is performed by detecting edge transitions on radial lines enabling characterization of coverage, concentricity and uniformity of layers, films or other line features on the wafer edge.
Front Side Metrospection. The all-surface wafer inspection and metrology system also contains a front side inspection module that uses: (1) time-delay-integration (TDI) technology with concurrent brightfield (BF) and darkfield (DF) inspection channels; (2) bright LED illumination for precision and stability; and, (3) a set of recipe-selectable objectives to give different lateral resolutions.

The TDI camera detects an interference signal from the top and bottom surfaces of thinned Si. An example of such fringes is shown in FIGURE 5. The front side inspection module uses three illumination colors (RGB) that give three sets of interference signals, each has its own characteristic amplitude and frequency. By analyzing these signals, the Si thickness at the edge of the thinned wafer can be determined. The high resolution optics of the front side inspection module enables accurate thickness measurement when the edge rolls off rapidly.

Screen Shot 2017-07-28 at 1.59.15 PM Screen Shot 2017-07-28 at 1.59.21 PM

Results

Edge Defectivity. Using edge defect inspection and classification, it was possible to compare different wafer thinning process sequences with respect to grinding-induced damage, edge chipping and delamination, and to fine-tune the process by minimizing the defect count of these defects of interest.

FIGURE 6 is showing the results from automated edge defect inspection of wafers which received two different thinning process sequences. By placing inspection care areas on the regions of interest, i.e. near the wafer edge of the top thinned wafer, and by specifying defect classification rules, the inspection detected edge chippings and classified them accordingly with high accuracy. The defect count of detected edge chippings on the wafer thinned by approach A was significantly higher than on the wafer thinned by approach B. The edge integrity was better maintained when wafers are thinned using approach B. The details of the process sequences can be found in [1].

Screen Shot 2017-07-28 at 1.59.32 PM Screen Shot 2017-07-28 at 1.59.40 PM

When further exploring thinning approach B, a detailed edge inspection showed that the thinning process sequence induced a lateral shrinkage of the top wafer besides the normal wafer thinning, resulting in pattern exposure from the landing wafer as can be seen on the right inspection image of Fig. 6.

Global Wafer Thickness. The most important element in the extreme wafer thinning process is a precise control of the FST, and its variation, with a maximum 3σ repeatability of 50nm to obtain a precision-to-tolerance ratio smaller than or equal to 0.1. The FST was measured by PWG and is the subtraction of the thickness measurement of the bottom wafer from the thickness measurement of the wafer stack after bonding and thinning, according to below equation.

The different components of this equation are depicted in FIGURE 7. Thickness #2(x,y) is the thickness of the total stack after W2W bonding and thinning. Thickness #1(x,y) is the thickness of the bottom wafer. Finally, to know the FST of the top wafer, the thickness of the dielectrics on top and bottom wafer are subtracted. The latter thickness is considered to be constant since the variation of the dielectric thickness is negligible compared to the variation of FST.

Screen Shot 2017-07-28 at 2.01.24 PM

FIGURE 8 shows the thickness profile of the top Si layer after the thinning process sequence as measured by PWG. The FST varied about 2μm center-to-edge, with a strong gradient when approaching the wafer edge. Between wafer edge and 2mm from the wafer edge, it becomes challenging for standard wafer metrology tools to measure the thickness profile. Reasons are the wafer edge exclusion imposed by the tool and the non-opaque behavior of Si at a certain thickness in function of the wavelength applied by the metrology tool. The CIRCL-AP was used to investigate the edge profile of the top wafer to complete the full wafer charac- terization of the FST. Result details are elaborated in the following sections.

The results of the PWG measurements showed a clear correlation with standard ellipsometry-based metrology measurements, as can be seen in FIGURE 9. The advantage of PWG over ellipsometry is that more points on the wafer are measured at higher throughput and results are more reliable with the presence of patterns in the complex stack of 3D-SOC W2W bonded wafers.

Edge Metrology. For the wafer edge profile of the bonded wafer pair after thinning, it is expected to see a stepwise decrease of the FST of the top wafer due to the edge trim of the top wafer before bonding (FIGURE 10). However, the FST showed a slower decrease when approaching the wafer edge.

Screen Shot 2017-07-28 at 2.01.39 PM

With the edge metrology function, CIRCL-AP was capable to detect and report from what radius the final Si thickness starts to decrease, as depicted in FIGURE 11. It is expected to see a uniform area of the top wafer top surface that extends to a radius of about 149.5mm, in case the top wafer received an edge trim width of 0.5mm. However, from radius 147.5mm, the FST started already to decrease towards the wafer edge. This decrease is the lateral shrinkage that was mentioned previously when discussing the results presented in Fig. 6.

Screen Shot 2017-07-28 at 2.01.54 PM

Edge Thickness. The lateral shrinkage was further confirmed by detailed thickness measurements focusing on the wafer edge using the CIRCL-AP’s front side inspection module. The inspection tool with metrology capabilities (metrospection) showed the thickness profile and quantified the decrease as a function of wafer radius R and angle θ as depicted in FIGURE 12. There is a gradual thickness decrease noticed from 3μm to 0μm indicating that there is no Si left in a 2mm ring at the edge while the initial edge trim width was 0.5mm only.

Process improvement

The FST profile and edge shape of the top wafer are characterized by using previously described metrology techniques. To enable a stable and robust via-last process and to realize multi-wafer stacking, the FST variation needs to decrease below 1μm and the lateral shrinkage needs to be minimized. The optimization of the wafer thinning process sequence is ongoing work by applying different hardware configurations, tuning the processes and validating whether requirements are met by using the same metrology techniques as described in this paper.

Conclusions

We have shown the capability of two complementary metrology tools to characterize the extreme wafer thinning process. This tool set can also be implemented to control the performance in a production environment at high throughput. Excursions can be analyzed further using techniques like in-line AFM. When thinning Si to 5μm and below for 3D-SOC integration technology schemes, multiple challenges arise where different measurement techniques are needed to characterize the final Si thickness across the full wafer. A good control of the final Si thickness as well as the total thickness variation (TTV) will become important when further scaling down 3D interconnects and increasing their density.

Acknowledgements

Authors would like to thank Fumihiro Inoue, Nina Tutunjyan, Stefano Sardo and Edward Walsby for supplying wafers to inspect and measure, for the interpretation and discussion of the results afterwards, and the early involvement of metrology in the process developments. This paper was previously published in the Proceedings of the 28th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2017), Saratoga Springs, NY, 2017, pp. 331-336.

References

1. A. Jourdain, “Extreme Wafer Thinning Optimization for Via-Last Applications,” 3DIC, November 2016.
2. F. Inoue, “Characterization of Extreme Si Thinning Process for Wafer- to-Wafer Stacking,” ECTC, May 2016.
3. K. Freischlad, S. Tang, and J. Grenfel, “Interferometry for wafer dimensional metrology,” Proceedings of SPIE, 6672, 667202 (2007).
4. P. Vukkadala, K. T. Turner, and J. K. Sinha, “Impact of Wafer Geometry on CMP for Advanced Nodes,” Journal of the Electro- chemical Society, 158(10), p. H1002 (2011).
5. L. Teugels, “Within-die and within-wafer CMP process characterization and monitoring using PWG Fizeau interferometry system,” ICPT, October 2016.
6. C. Mehanian et al., “Systems and Method for Simultaneously Inspecting a Specimen with Two Distinct Channels,” US Patent 7,782,452, issued August 2010.

As 3D NAND becomes the mainstream technology, its challenging roadmap poses opportunities for continued innovation.

BY HARMEET SINGH, Lam Research Corp., Fremont, CA

Since its introduction several years ago, 3D NAND has become a mainstream technology because of its ability to increase bit density in memory devices. Its adoption has been accelerated by advances in the underlying manufacturing processes that are enabling 3D architectures and lowering the cost per bit. With all its advantages, however, the overall complexity and capital intensity of 3D NAND manufacturing add significantly to the challenges fabs are facing in terms of process control, yield, and economics.

Market and technology drivers for 3D NAND

The main impetus for 3D NAND was the recognition that planar technology was approaching the end of its physical limits to deliver higher densities and a lower cost-per-bit. Past advances in conventional planar NAND technology have primarily been driven by physical scaling, where lithography capabilities determined just how many memory cells could fit within a given die size. Using multiple levels of charge within each cell by going from single- to multi-level cell designs has also enabled increased bit densities. However, these improvements typically have come at the expense of speed because of the need to differentiate between the multiple levels of charge. In addition, since the individual memory cells for these designs lie in a horizontal plane, scaling is still ultimately limited by lithography. Other challenges in scaling 2D NAND beyond the 15 nm node include cell-to-cell interference, unscalable dielectrics, and electron leakage [1].

To address these challenges, 3D NAND fundamentally changes the scaling paradigm. Instead of traditional X-Y scaling in a horizontal plane, 3D NAND scales in the Z-direction by stacking multiple layers of NAND gates vertically. This allows more cells to be packed into the same X-Y space (planar area) on the die without shrinking dimensions horizontally. By easing cell size requirements, triple- and even quadruple-level cell designs are possible. As such, 3D NAND offers a signif- icant increase in bit density over planar NAND.

Unlike planar NAND, where scaling is primarily driven by lithography, 3D NAND scaling is enabled by advances in deposition and etch processes. An incredible level of precision and repetition is required in defining complex 3D structures with extremely high aspect ratio (HAR) features. Achieving success with 3D NAND requires innovative deposition and etch solutions that minimize variability.

Overview of critical 3D NAND processes

The 3D NAND architecture requires advanced capabilities enabling HAR and complex structures (FIGURE 1). Critical processes involved include multilayer stack deposition, HAR channel etch, wordline metallization, staircase etch, HAR slit etch, and stair contacts formation. The following sections look at some of these areas in more depth and describe the most critical process parameters that must be controlled.

Screen Shot 2017-07-27 at 9.33.14 AM

Film deposition

Creating stacked memory cells starts with depositing alternating layers of thin films. Unlike planar NAND, where cell pitch is defined by lithography, pitch in 3D NAND is determined by the film thickness. As such, precise control of layer-to-layer deposition uniformity is extremely important. Currently, commercial 3D NAND products in high-volume manufacturing have layers ranging from 32 to 48 pairs, while next-generation products with more than 60 pairs are now beginning high-volume ramps.

Critical requirements for depositing stacked films are the stress and uniformity of the individual layers within the overall stack. These requirements become more stringent and increasingly more challenging to meet as the number of layers grows. Wafer bow and local film stress (FIGURE 2) directly impact the ability to achieve precise lithog- raphy overlay. Film thickness and repeatability affects the active area of cell and consistency of the litho/etch performance. As a result, both film stress control and excellent uniformity are critical to wafer yields. To address these concerns, careful management of stress by tuning deposition conditions and optimizing integration is needed not only for the film stack deposition, but also throughout 3D NAND manufacturing.

Screen Shot 2017-07-27 at 9.33.22 AM

High aspect ratio channel etching

HAR channel etch is the most critical and challenging step in 3D NAND because it is key to achieving uniform hole size through multiple layers to define the channel of memory cells. More than a trillion holes must be etched simultaneously and uniformly on every wafer, each with an aspect ratio of more than 40:1. For comparison, the highest aspect ratio structure that is etched in planar NAND is less than 15:1.

Deep etch on these multilayer stacks can push the limits of physics to achieve uniformity from top to bottom. As shown in FIGURE 3, the high aspect ratio of this etch leads to transport limitation challenges that can generate a range of problems. These include incomplete etch wherein some holes don’t reach the bottom, bowing, twisting, and CD variation between the top and bottom of the stack. Such defects can lead to shorts, interference between neighboring memory strings, and other perfor- mance issues. Solving these HAR-related transport issues requires precise control of high-energy ions during the etch process. Technologies that help deliver this capability include a symmetric chamber design for intrinsic uniformity, a proprietary high ion energy source with advanced plasma confinement and modulation, and orthogonal (independent) uniformity tuning knobs, such as multi-zone gas delivery and temperature control to achieve required uniformity across the wafer.

Screen Shot 2017-07-27 at 9.33.33 AM Screen Shot 2017-07-27 at 9.33.40 AM

As the 3D NAND roadmap adds more layers to achieve higher bit density, channel hole etching becomes increasingly challenging due to higher aspect ratios. Managing the fundamental trade-offs among profile, selectivity, and CD requires continuous equipment innovation, not only to deliver HAR etching capabilities for more than 100 pairs, but also to do this at the productivity needed for volume manufacturing.

Wordline tungsten metal fill

For replacement-gate 3D NAND schemes, wordline tungsten fill provides the critical conductive links between individual memory cells within layers. This process is particularly challenging because of the need to achieve void-free fill of complex, narrow, lateral structures with minimal stress on the memory stack.

Due to the structural complexity, atomic-scale engineering is required for wordline fill. Traditional CVD tungsten films have inherent characteristics that limit capability for 3D NAND wordline fill. High tensile stress in CVD tungsten can lead to wafer bow, and fluorine in the process has been known to diffuse into adjacent layers where it can create yield-limiting defects. In addition, resistivity limits scaling: making each layer thinner would allow for more layers (more storage bits), but would also make wordline resistance too high. One approach to address these concerns is the use of a low-fluorine tungsten (LFW) ALD process. This has the ability to provide a smoother morphology that conforms better with the surface in each fill layer, thereby minimizing stress induced by the deposition process. Stress reduction by more than an order of magnitude has been demonstrated with LFW ALD technology. This approach has also been shown to lower fluorine content by up to 100x (FIGURE 4) and reduce resistivity by over 30% compared to conventional CVD tungsten.

Screen Shot 2017-07-27 at 9.36.22 AM

 

Staircase etch

The staircase etch step creates the individual contact pads for each memory cell within the layers. A highly controlled etch process is used to define the size of each contact pad. To reduce the cost associated with lithography and improve productivity, repeated vertical etch and lateral trim etch processes are adopted to form the staircase instead of using numerous lithography steps. For each lithography pass, multiple staircase levels can be created by etching and trimming, as shown in FIGURE 5. The number of stairs that can be formed by this process is determined by the lateral-to-vertical (L/V) etch rate. Improving L/V etch selec- tivity can reduce the number of lithography steps needed.

Screen Shot 2017-07-27 at 9.33.50 AM

Extreme accuracy is required to maintain the stair CD, thus avoiding misaligned contacts. If the CD for a pad is off by a few percent, that error will propagate through subsequent pads defined within the same lithography pass. Current technology can deliver uniform and repeatable stair CD precision of 1% (3-sigma) after more than five L/V trim processes. This is a critical factor for achieving high productivity and being able to scale to higher stacks with more layers economically.

Summary

Traditional planar scaling to increase NAND density is approaching its limits due to lithography and performance challenges. As 3D NAND becomes the mainstream technology, its challenging roadmap poses opportunitiesforcontinuedinnovation. Stress management throughout wafer processing is crucial, and significant innovations in both deposition and etch processes are essential in forming the HAR features that dominate 3D NAND architectures. Finally, reducing variability in every critical step is a must to meet performance, yield, reliability, and cost requirements.

3D NAND completely changes the scaling paradigm by going vertical. No longer limited by lithography capabilities, 3D NAND can achieve greater levels of integrity, perfor- mance, and reliability – while building vertically for higher bit density and a lower cost-per- bit – through relying on advances in deposition and etch processes.

References

1. Y.W. Park, Flash Memory, IEDM short course, 2015