Tag Archives: letter-pulse-top

Leti_Jean-Eric_MichalletBy Jean-Eric Michallet, Leti Vice President of Sales and Marketing

Smart devices for the Internet of Things are among the top three growth drivers for the semiconductor industry, but the IoT is a highly fragmented market where multiple applications have varying energy requirements.

Speaking at a session on “Consumer & Energy Efficiency” at the LetiDays annual event in Grenoble, France, Edith Beigné, a senior scientist in the Architecture, ID Design, and Embedded Software Department at CEA-Leti, said the fragmentation presents challenges for technology providers, because it is difficult and expensive to design a single chip for one application or to provide a software or hardware platform to cover each archetype.

Leti’s new Internet of Things platform, L-IoT, is designed to overcome the challenges of fragmentation by providing a complete, flexible ultra-low power solution with adaptable analog and digital building blocks globally optimized for high energy efficiency and that “sleep” when energy-supply is low. All functionality, except the sensors, is integrated on a single chip.

L-IoT: a Flexible Platform

LetiDays 2-1

Adaptive Always-Responsive/On-Demand, according to energy levels

Known as “Elliot”, the platform includes both an “always-on” subsystem and “on-demand” subsystem. For applications such as video surveillance, secure communications, data fusion and tracking and monitoring, for example, the “on-demand” system can be woken up to provide additional data, as needed.

The application may have a variety of power sources for the “on-demand” tasks, but energy harvesting is the preferred choice, Beigné said.

Silicon Impulse

Leti also recently introduced Silicon Impulse, a comprehensive IC technology platform offering IC design, advanced intellectual property, emulator and test services and industrial multi-project wafer (MPW) shuttles. The eight-member consortium supporting the platform offers leading-edge, hardware-and-software solutions, including embedded software dedicated to geo-location and people location, for instance; subsystems such as 3D multi-core and low-power CPU modules, and a wide range of ICs: FD-SOI, RF, sensors, mixed-signal, MEMS and NEMS and 3D devices.

Caroline Arnaud, head of the Platform and Design Center Department at Leti, said the platform supports 28nm FD-SOI now, and Leti is in discussions with GLOBALFOUNDRIES for access to 22nm technology next year.

From sensor fusion to context awareness

Vivian Cattin, Leti project Manager, outlined future consumer applications that context-awareness technology can provide. She summarized Leti’s ongoing work with InvenSense, the world’s leading provider of MotionTracking sensor system-on-chip (SoC) and sound solutions for consumer electronic devices. In 2014, the company acquired the Leti spinout Movea, which was widely recognized for its advanced software for ultra-low-power location, activity tracking and context sensing.

The continuing collaboration is focused on improving context awareness by combining data from a variety of sensors, including accelerators and gyrometers, with other sources, such as WiFi beacons and the GPS systems from a person’s mobile device, to not only locate the person but estimate his or her direction or trajectory. The application also can estimate the travel time to the destination.

Cattin said a next step, called “user-adaptive processing”, would combine additional sensors, including wearable devices, software that supports machine learning, and the user’s own cloud-based information to support new uses such as personal wellness tips.

Less energy, more powerful applications for consumers

Jean-Michel Goiran, IoT business-development manager at Leti, highlighted Leti programs and projects that provide more powerful applications for consumers in the Internet of Things era, while using less power.

Connected sensor nodes typically reserve two-thirds of available power in standby mode for the microprocessor, while 13 percent is used by the sensor, 11 percent by the radio, and 10 percent by the active microprocessor. “We need an ultra-low standby-power solution for sustainable and long-living IoT devices deployment,” he said.

Non-volatile memory will be a big part of the solution for better standby-power management, because its content doesn’t require periodic refreshing. Super directivity, which refers to very small antennas directing their signals in only one direction, are another energy saver for IoT applications. Mutualizing functions on a single sensor, such as C02 detection, ventilation, presence detection and fire alarms, also can significantly lower power demand. “You need energy for sensors, so the fewer sensors the better,” Goiran said.

Wired houses for energy efficiency and security

Joël Mercelat, chief technical officer at Delta Dore, described a fully connected house that provides enhanced security and maintains residents’ preferred heating/cooling and lighting preferences, while cutting energy use. These functions are automated, but also can be controlled be hand-held devices.

Read more from CEA-Leti: 

What chipmakers will need to address growing complexity, cost of IC design and yield ramps

 

IBM Research today announced that working with alliance partners at SUNY Polytechnic Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) it has produced the semiconductor industry’s first 7nm (nanometer) node test chips with functional transistors. The breakthrough underscores IBM’s continued leadership and long-term commitment to semiconductor technology research.

The accomplishment, made possible through IBM’s unique public-private partnership with New York State and joint development alliance with GLOBALFOUNDRIES, Samsung and equipment suppliers, is driven by the company’s $3 billion, five-year investment in chip R&D announced in 2014. Under that program, IBM researchers based at SUNY Poly’s NanoTech Complex in Albany are pushing the limits of chip technology to 7nm node and beyond to meet the demands of cloud computing and Big Data systems, cognitive computing and mobile products.

Developing a viable 7nm node technology has been one of the grand challenges of the semiconductor industry. Pursuing such small dimensions through conventional processes has degraded chip performance and negated the expected benefits of scaling — higher performance, less cost and lower power requirements. Microprocessors utilizing 22nm and 14nm technology power today’s servers, cloud data centers and mobile devices, and 10nm technology is well on the way to becoming a mature technology, but 7nm node has remained out of reach due to a number of fundamental technology barriers. In fact, many have questioned whether the traditional benefits of such small chip dimensions could ever be achieved.

The IBM 7nm node test chip with functioning transistors was achieved using new semiconductor processes and techniques pioneered by IBM Research. Developing it required a number of first-in-the-industry innovations, most notably silicon germanium (SiGe) channel transistors and extreme ultraviolet (EUV) lithography integration at multiple levels.

By introducing SiGe channel material for transistor performance enhancement at 7nm node geometries, process innovations to stack them below 30nm pitch and full integration of EUV lithography at multiple levels, IBM was able to achieve close to 50 percent area scaling improvements over today’s most advanced 10nm technology. These efforts could result in at least a 50 percent power/performance improvement for the next generation of systems that will power the Big Data, cloud and mobile era.

The 7nm node milestone continues IBM’s legacy of historic contributions to silicon and semiconductor innovation. They include the invention or first implementation of the single cell DRAM, the Dennard Scaling Laws, chemically amplified photoresists, copper interconnect wiring, Silicon on Insulator, strained engineering, multi core microprocessors, immersion lithography, high speed SiGe, High-k gate dielectrics, embedded DRAM, 3D chip stacking and Air gap insulators.

IBM and SUNY Poly have built a highly successful, globally recognized partnership at the multi-billion dollar Albany NanoTech Complex, highlighted by the institution’s Center for Semiconductor Research (CSR), a $500 million program that also includes the world’s leading nanoelectronics companies. The CSR is a long-term, multi-phase, joint R&D cooperative program on future computer chip technology. It continues to provide student scholarships and fellowships at the university to help prepare the next generation of nanotechnology scientists, researchers and engineers.

Related news: 

IBM announces $3B research initiative

GLOBALFOUNDRIES completes acquisition of IBM Microelectronics business

Large-screen smartphones, with displays of 5 inches or greater and often called “phablets” (for phone/tablet hybrids), are on track to surpass worldwide shipments of tablet computers this year, according to IC Insights’ new Update to the 2015 IC Market Drivers report.  The Update’s forecast shows the popularity of extra-large smartphones continuing to gain momentum in the first half of 2015 with unit shipments now expected to reach 252 million this year, which is a 66 percent increase from 152 million sold in 2014 (Figure 1).  Strong growth in large smartphones is having a major impact on tablet unit sales, which are forecast to increase just 2 percent in 2015 to 238 million units.

Figure 1

Figure 1

IC Insights believes strong sales of large-screen smartphones will continue in the next three years while the tablet market struggles with low single-digit percentage growth through 2018.  The revised forecast shows large-screen smartphone shipments climbing by a compound annual growth rate (CAGR) of 40 percent between 2014 and 2018, while tablet unit shipments are expected to rise by a CAGR of just 3 percent in this four-year period.  Large-screen smartphones are having the biggest impact on mini tablets, which saw a rise in popularity in the past few years.  Mini tablets have 7- to 8.9-inch displays and typically run the same software as smartphones.

The phablet segment is expected to account for 17 percent of total smartphone shipments in 2015, which are forecast to be about 1.5 billion handsets.  The Update report shows phablets representing 21 percent of the 1.7 billion smartphones that are forecast to be shipped in 2016.  Phablet sales are projected to reach 30 percent of the nearly 2 billion total smartphones shipped in 2018, according to the Update of the 2015 IC Market Drivers report.

Tablet unit sales have nearly stalled out because incremental improvements in new models have not been enough to convince owners of existing systems to buy replacements.  More consumers are opting to buy new large-screen phablets instead using both a smartphone and tablet.  Large smartphones have gained traction because more handsets are being used for video applications (including streaming of TV programs and movies) in addition to Internet web browsing, video gaming, GPS navigation, and looking at digital photos.

The market for large-screen smartphones received a boost from Apple’s highly successful iPhone 6 Plus handset, which started shipping in September 2014 and continued to gain momentum in the first half of 2015.  Apple joined the phablet movement somewhat belatedly, but its 5.5-inch display iPhone 6 Plus smartphone played a major role in the company shipping 61.2 million iPhone handsets in 1Q15, which was a 40 percent increase over the same quarter in 2014.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, today announced worldwide sales of semiconductors reached $28.2 billion for the month of May 2015, an increase of 5.1 percent from May 2014, when sales were $26.8 billion. Global sales from May 2015 were 2.1 percent higher than the April 2015 total of $27.6 billion. Regionally, sales in the Americas increased 11.4 percent compared to last May to lead all regional markets. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry overcame lingering macroeconomic uncertainty to post solid year-to-year growth in May,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Year-to-year sales have now increased for 25 straight months, month-to-month sales increased for the first time in six months, and we expect modest growth to continue for the remainder of 2015 and beyond.”

In addition to the Americas market, year-to-year sales also increased in China (9.5 percent) and Asia Pacific/All Other (8.0 percent), but decreased in Europe (-7.8 percent) and Japan (-11.8 percent). Compared to last month, sales were up in China (4.0 percent), Asia Pacific/All Other (3.3 percent), and the Americas (0.2 percent), but decreased slightly in Europe (-0.6 percent) and held flat in Japan.

“Congress and the President recently gave the U.S. semiconductor industry and other trade-dependent sectors a major boost by enacting Trade Promotion Authority (TPA), which makes it easier for the United States to strike deals on free trade agreements,” said Neuffer. “With TPA, the United States is more likely to get the Trans-Pacific Partnership (TPP) and other critical trade agreements across the finish line, leading to continued growth and innovation in our industry and across the U.S. economy.”

May 2015

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.61

5.62

0.2%

Europe

2.89

2.87

-0.6%

Japan

2.54

2.54

0.0%

China

7.78

8.09

4.0%

Asia Pacific/All Other

8.78

9.07

3.3%

Total

27.61

28.20

2.1%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.05

5.62

11.4%

Europe

3.12

2.87

-7.8%

Japan

2.88

2.54

-11.8%

China

7.39

8.09

9.5%

Asia Pacific/All Other

8.40

9.07

8.0%

Total

26.83

28.20

5.1%

Three-Month-Moving Average Sales

Market

Nov/Dec/Jan

Feb/Mar/apr

% Change

Americas

6.23

5.62

-9.7%

Europe

2.88

2.87

-0.2%

Japan

2.55

2.54

-0.6%

China

7.76

8.09

4.4%

Asia Pacific/All Other

8.32

9.07

9.0%

Total

27.74

28.20

1.7%

 

By Christian Dieseldorff and Lara Chamness, SEMI

We, in the semiconductor supply chain, are constantly immersed in detailed numbers. It’s important to pull back and look at the major trends that have profoundly changed and are reshaping our industry.

Data from SEMI World Fab Forecast reports

1997

2002

2007

2012

2017

Global Volume Fab Count
Number of Fabs WW 

682

802

849

861

864

Number of Fabs WW (excluding discrete and LED)

472

508

499

440

440

Global Volume Fabs by Wafer Size
Number of volume 200mm fabs (excluding discrete and LED)

111

170

173

152

149

Number of volume 300mm fabs (excluding discrete and LED)

0

13

62

81

109

Global Fab Capacity by Device Type
Fab Capacity (200mm equiv. thousand wafer starts per month)

5,655 

7,519 

15,441 

18,068 

20,609 

Memory

20%

19%

36%

29%

27%

Foundry

13%

19%

18%

27%

30%

MPU&Logic

35%

31%

22%

17%

16%

Analog, Discretes, MEMS & Other

32%

31%

24%

27%

26%

Largest Regional Fab Capacities
Fab Capacity Regional Trends (excluding discrete and LED)

Largest installed capacity

Japan

Japan

Japan

Japan

Taiwan

Second largest installed capacity

Americas

Americas

Taiwan

S. Korea

S. Korea

Third largest installed capacity

Europe

Europe

S. Korea

Taiwan

Japan

Source: SEMI (www.semi.org) 

The table shows that the largest increase of new fabs occurred in the time frame from 1997 to 2002 with 18 percent growth rate. The growth rate drops to 6 percent from 2002 to 2007, 1 percent from 2007 to 2012 and flat from 2012 to 2017. This drop in change rate does not mean that there are no new fabs being built but is explained by fabs closing. There are still new fabs being built ─ especially for 300mm ─ but the rate of fabs closing is overshadowing this fact. From 2007 to 2012 alone over 150 facilities closed with majority from 2008 to 2010.

With the rise of 300mm at begin of the millennium we see a rapid increase of 300mm fabs from 2002 to 2007 with 380 percent and at the same time a decrease of new 200m fabs from 50 percent to 2 percent. From 2007 to 2012 more 200mm fabs were closed but this trend is slowing. With emerging IOT demand, 200mm fabs will be part of the capacity mix for the foreseeable future.

Fueled by the fabless or “fab lite” movement, we see that the foundry era has a strong and steady growth since begin of its era in the 90s. By 2017, foundry capacity will have surpassed memory with 30 percent of the total capacity.

Both foundry and memory mainly use 300mm wafers which contribute to the large increase in capacity. The other sector MPU & Logic uses mainly 300mm but there are still fabs with wafer sizes of 200mm or less. While the Logic sector is increasing in capacity with System LSI applications, we see a decline for MPU which contributed to the decline in share.  Although we see an increase of capacity for sensors and analog/mixed signal, the sector combined as “Analog, Discretes, MEMS & Others” shows modest growth mainly because the wafer sizes used are 200mm and below which contributes to the less share of capacity.

For decades Japan was the leader in installed capacity which will have changed by 2017 when Taiwan will have taken over the highest capacity spot.  Japan is restructuring business models and approaching a more fab-lite to fabless model.  Korea is mainly driven by Samsung and is benefitting from the mobile business using memory and System LSI chips.

For more information on market data, visit www.semi.org/en/MarketInfo and attend an upcoming SEMICON: SEMICON West 2015 (July 14-16) in San Francisco, Calif; SEMICON Taiwan 2015 (September 2-4) in Taipei, Taiwan; SEMICON Europa 2015 (October 6-8) in Dresden, Germany; SEMICON Japan 2015 (December 16-18) in Tokyo, Japan.

By David W. Price and Douglas G. Sutherland

Author’s Note: This is the eighth in a series of 10 installments that explore certain fundamental truths about process control—defect inspection and metrology—for the semiconductor industry. Each article introduces one of the 10 fundamental truths and highlights its implications.

Moving to the next design rule can be stressful for the inspection and metrology engineer. Like everything else in the fab, process control generally doesn’t get any easier as design rules shrink and new processes are introduced.

The eighth fundamental truth of process control for the semiconductor IC industry is:

Process Control Requirements Increase with Each Design Rule

This statement has proven to be historically accurate, as evidenced by the increase in process control spending as a percentage of wafer front-end (WFE) total costs. This article, however, will focus on a few of the forward-looking observations that we believe will further accelerate the adoption of process control.

The historical increase in process control with shrinking design rules has been driven largely by the introduction of key technical inflections. Recent examples for logic/foundry include immersion lithography, high-k metal gates, gate-last integration, and FinFET transistor structures. These high profile process changes required enormous engineering focus and led to the implementation of new inspection and metrology steps to characterize the associated defectivity and drive yield learning.

While the industry will continue to face significant technical challenges (next-generation lithography being the most obvious example), there is another factor emerging which will play an equally large role in setting the inspection and metrology strategy for the 16/14nm design node and beyond.

Figure 1 shows the number of process steps as a function of design rule for a generic logic/foundry process. Up to the 20nm node, there has been a very modest increase in process steps with design rule shrinks due to, for example, more metal levels and the addition of hard mask steps. But starting at 16/14nm, there will be an unprecedented increase in the number of process steps. This jump in process steps will be driven by:

  • The shift from 2D to 3D transistor structures in both logic and memory
  • More complicated integration in both the front end and back end
  • The push-out of EUV lithography, leading to massive numbers of multi-patterning steps

Figure 1. The number of process steps will increase dramatically, starting at 16/14nm. [source: IC Knowledge Strategic Cost Model, KLA-Tencor internal data]

Figure 1. The number of process steps will increase dramatically, starting at 16/14nm. [source: IC Knowledge Strategic Cost Model, KLA-Tencor internal data]

Process Tool Defectivity

Because of this increase in process steps—and the accumulative nature of yield loss—fabs must reduce the defectivity at each individual step in order to achieve the same final yield. Figure 2 shows the total yield as a function of the number of process steps where the average per-step yield is held constant. Prior to 16/14nm, this effect was scarcely noticeable since the total increase in process steps was minimal.

Moving forward, fab defect reduction teams must continue to resolve the challenging new technical inflections. But they must also place more focus on driving down defectivity at all process steps:

  1. Line Yield: To maintain the same line yield (wafers out / wafers in), there must be fewer excursions and less scrap at each step
  2. Die Yield: Every operation in the fab must be held to a tighter specification for defect density (D0) and variation (Cpk)

To make matters worse, defect inspection and metrology operations will continue to become more difficult. The defect count must go down even as the number of yield-relevant defects increases and the detection task becomes harder. Similarly, the variability in metrology measurements must be reduced even as those measurements become more difficult to make.

Figure 2. The Cumulative (Final) Yield is the product of the per-step yield for each unit operation in the process flow. This chart shows that, for a given average per-step yield, the final yield will decrease as the number of process steps increases.

Figure 2. The Cumulative (Final) Yield is the product of the per-step yield for each unit operation in the process flow. This chart shows that, for a given average per-step yield, the final yield will decrease as the number of process steps increases.

Impact on Cycle Time

The increase in process steps has another downside: increased cycle time. If cycle time increases in proportion to the number of process steps then it follows from Figure 1 that the cycle time will roughly double from the 20nm to the 10nm node. One publication has even suggested that the cycle time may double from 20nm to an advanced 16nm process [2].

The fab’s ability to do yield learning via feedback from electrical test and physical failure analysis (PFA) is directly tied to the “hot lot” cycle time. Longer hot-lot cycle times mean fewer opportunities for these long-loop learning cycles as device manufacturers try to ramp yield and deliver products to market. More emphasis must therefore be placed on in-line yield learning methodologies.

Sampling Pressure

Finally, more process steps will increase the manufacturing cost per wafer. In the second article in this series, Sampling Matters, we showed that the ideal sampling rate (that which provides the lowest total cost to the fab) goes with the square root of the device manufacturing cost. In other words, if the manufacturing cost increases by 30 percent then the corresponding process control sampling rate needs to increase by 14 percent (everything else being constant) to stay at the lowest total cost. This sampling increase will put further pressure on the fab’s inspection and metrology teams.

Summary

In summary, each new design rule will introduce:

  • Technical inflections that require engineering focus and innovation, as well as the implementation of new process control methodologies
  • More process steps that must be directly monitored
  • Tighter controls and lower defect density at each individual step due to the compounding nature of yield loss
  • Longer cycle times, resulting in more reliance on in-line (vs. end-of-line) techniques for yield learning
  • Higher stakes (greater economic impact to the fab) in the event of an excursion due to the higher wafer manufacturing costs, which will put pressure on the fab to increase inspection and metrology sampling

The cascade of challenges that flows from the increase in process steps is sometimes referred to as the “Tyranny of Numbers.” For further exploration of how fabs are adapting their process control strategy for new design rules, please contact the authors of this article.

About the authors:

Dr. David W. Price is a Senior Director at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 10 years, Drs. Price and Sutherland have worked directly with more than 50 semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

References:

  1. Lipsky, “TSMC Outlines 16nm, 10nm Plans.” EE Times, 4/8/2015.
  2. Jones, Strategic Cost Model, IC Knowledge, LLC. http://www.icknowledge.com/

Read more Process Watch: 

Time is the enemy of profitability

Know your enemy

The most expensive defect

Process Watch: Fab managers don’t like surprises

Process Watch: The 10 fundamental truths of process control for the semiconductor IC industry

Process Watch: Exploring the dark side

The Dangerous Disappearing Defect,” “Skewing the Defect Pareto,” “Bigger and Better Wafers,” “Taming the Overlay Beast,” “A Clean, Well-Lighted Reticle,” “Breaking Parametric Correlation,” “Cycle Time’s Paradoxical Relationship to Yield,” and “The Gleam of Well-Polished Sapphire.”

Smartphones first accounted for more than 50 percent of total quarterly cellphone shipments in 1Q13. In 4Q15, smartphones are forecast to reach 435 million units or 80 percent of total cellphones shipped according to data in IC Insights’ newly released Update to its IC Market Drivers Report (Figure 1). On an annual basis, smartphones first surpassed the 50 percent penetration level in 2013 (54 percent) and are forecast to represent 93 percent of total cellphone shipments in 2018.

Figure 1

Figure 1

In contrast, non-smartphone cellphone shipments dropped by 18 percent in 2013 and 23 percent in 2014.  Moreover, IC Insights expects the 2015 non-smartphone cellphone unit shipment decline to be steeper than 2014’s drop with a decline of 27 percent. Total cellphone unit shipments grew by only 5 percent in 2014 and are forecast to grow by only 3 percent in 2015 (Figure 2).

Figure 2

Figure 2

Samsung and Apple dominated the smartphone market in both 2013 and 2014.  In total, these two companies shipped 457 million smartphones and held a combined 47 percent share of the total smartphone market in 2013.  These two companies shipped over 500 million smartphones in 2014 (503.9 million), but their combined smartphone unit marketshare dropped seven percentage points to 40 percent.  It appears that both Samsung and Apple are losing smartphone marketshare to the up-and-coming Chinese producers like Xiaomi, Yulong/Coolpad, and TCL.

In contrast to the weakening fortunes of Nokia, BlackBerry, and HTC, 2013-2014 smartphone sales from China-based Lenovo (which acquired Motorola’s smartphone business from Google in October of 2014), Huawei, Xiaomi, Yulong/Coolpad, and TCL surged.  Combined, the six top-10 China-based smartphone suppliers shipped 359 million smartphones in 2014, a 79 percent increase from the 201 million smartphones these six companies shipped in 2013.  As a result, the top six Chinese smartphone suppliers together held a 29 percent share of the worldwide smartphone market in 2014, up eight points from the 21 percent share these companies held in 2013.

In early 2015, there were numerous reports of slowing in the Chinese smartphone market.  Since most of the Chinese smartphone producer’s sales are to Chinese customers, this slowdown became evident in their 1Q15 smartphone sales figures.  In total, the top six China-based smartphone suppliers shipped 83.4 million smartphones and held a 25 percent share of the 1Q15 worldwide smartphone market, down four points from their 29 percent combined marketshare in 2014.

Chinese smartphone suppliers primarily serve the China and Asia-Pacific marketplaces.  Their smartphones, unlike those from Apple, Sony, and HTC are low-cost low-end handsets that typically sell for less than $200.  In some cases, smartphones sold by the Chinese companies have been known to sell for as little as $50.

With much of the growth in the smartphone market currently taking place in developing countries such as China and India, low-end smartphones are expected to be a driving force in the smartphone market over the next few years.  IC Insights defines low-end smartphones as those that sell for $200 or less and high-end smartphones as those that sell for greater than $200.

By Debra Vogler, SEMI

If you attended just about any mask making conference in the last five to seven years, you would have heard the lament about exploding data volumes and their impact on mask writing time and, by extension, mask costs. The industry is still concerned with data volumes, whether 193nm immersion or EUVL. “Data volume is significantly increased node by node and requires a faster data transfer rate,” Jongwook Kye, director of the Strategic Lithography Technology Group at GLOBALFOUNDRIES, told SEMI. “We have to support data transfer across multiple continents, and that is a bottleneck.”

So it’s not just that masks are getting more complicated – with large data volumes – but it’s how the data gets transferred from one continent to the other that is becoming more challenging. “Even if you improved the mask writing time, with a multiple e-beam mask writing tool, the problem is still the data transfer rate.” On the subject of multiple e-beam writing tools, Kye noted that they aren’t currently available, and investment in the technology has not been aggressive, so the challenges remain even as the industry goes from node 10 down to node 5. Kye will present at SEMICON West 2015 (July 14-16) in the July 15 Lithography session during the Semiconductor Technology Symposium.

Kye pointed to another sector – the Internet of Things (IoT) – as having the potential to unlock solutions for the data volume/data transfer rate conundrum. “The IoT folks want to solve the data collection problem that arises from having trillions of sensors,” said Kye. “Once the infrastructure is there [to collect sensor data], those solutions can be transferred in some manner to fit the data transfer needs of the mask writing industry.”

One key factor that has changed over the years is that now, edge placement error (EPE) is the most important parameter of concern for lithography, noted Kye (Figure 1). “In traditional lithography, we tried to control overlay (OL) and CDU (critical dimension uniformity),” said Kye. “These days, the OL and CDU are no longer independent parameters, so we unify them together in one word and call it edge placement error.”

Christopher Progler, VP and CTO at Photronics, Inc., told SEMI that, today, EUV masks are being produced that are suitable for wafer technology development and production in limited applications. One relatively new development – pellicles for EUV masks – has taken a major step forward. “The ecosystem is rapidly responding to this new requirement,” noted Progler. “Despite this progress, however, EUV represents a very different mask technology overall when compared to even the most advanced 193nm masks. This presents the industry with new challenges and learning cycles on the path to delivering high yielding production EUV masks.” All in all, however, Progler observed that EUV mask infrastructure continues to advance with progress in a number of critical areas including blank defects, patterning modules, cleaning and validation.

EUV mask defects will be handled using essentially a multi-sensor approach of inspection and characterization methods knitted together to form sound decisions on an EUV mask for use in particular applications,” Progler told SEMI. He anticipates that eventually, a high-speed, full-field actinic mask inspection tool will be delivered. “Such capability can be enabling for broad adoption of EUV masks, and therefore, EUV lithography.” Progler, however, believes that parallel plans are needed, “One that optimizes and calibrates the multi-sensor approach, and also the collaborative development of the full-field actinic inspection system.”

Addressing the need for greater speed over and above those of single-beam writing tools, Progler told SEMI, “There are a number of mask writer programs underway that would employ a writing engine instead comprised of an array of beams, thereby enabling faster writing time and improved flexibility for real-time pattern correction.” He noted that Photronics has been engaged in an equipment development program at IMS nanoFabrication alongside other industry partners to bring about this type of technology solution.

Rounding out the industry’s “to-do” list for EUVL, the mask industry also faces a challenge in the area of “so-called mask matching.” “Mask matching comprises methods to ensure two masks really are functionally identical for the given use,” Progler told SEMI. “So, driving integrated inspection/metrology/characterization solutions that ensure two masks work equivalently in a given application will continue to evolve.”

In addition to Kye (GLOBALFOUNDRIES) and Progler (Photronics), presenters from Nikon Research, ASML, Canon Nanotechnologies, Sematech and CEA Leti will be featured at the “Making Sense of the Lithography Landscape” (a Semiconductor Technology Symposium session) at SEMICON West 2015, which will be held July 14-16 at Moscone Center in San Francisco, Calif.

GLOBALFOUNDRIES today announced that it has completed its acquisition of IBM’s Microelectronics business.

With the acquisition, GLOBALFOUNDRIES gains differentiated technologies to enhance its product offerings in key growth markets, from mobility and Internet of Things (IoT) to Big Data and high-performance computing. The deal strengthens the company’s workforce, adding decades of experience and expertise in semiconductor development, device expertise, design, and manufacturing. And the addition of more than 16,000 patents and applications makes GLOBALFOUNDRIES the holder of one of the largest semiconductor patent portfolios in the world.

“Today we have significantly enhanced our technology development capabilities and reinforce our long-term commitment to investing in R&D for technology leadership,” said Sanjay Jha, chief executive officer of GLOBALFOUNDRIES. “We have added world-class technologists and differentiated technologies, such as RF and ASIC, to meet our customers’ needs and accelerate our progress toward becoming a foundry powerhouse.”

Through the addition of some of the brightest and most innovative scientists and engineers in the semiconductor industry, GLOBALFOUNDRIES solidifies its path to advanced process technologies at 10nm, 7nm, and beyond.

In RF, GLOBALFOUNDRIES now has technology leadership in wireless front-end module solutions. IBM has developed world-class capabilities in both RF silicon-on-insulator (RFSOI) and high-performance silicon-germanium (SiGe) technologies, which are highly complementary to GLOBALFOUNDRIES’ existing mainstream technology offerings. The company will continue to invest to deliver the next generation of its RFSOI roadmap and looks to capture opportunities in the automotive and home markets.

In ASICs, GLOBALFOUNDRIES now has technology leadership in wired communications. This enables the company to provide the design capabilities and IP necessary to develop these high-performance customized products and solutions. With increased investments, the company plans to develop additional ASIC solutions in areas of storage, printers and networking. The most recent ASIC family, announced in January and built on GLOBALFOUNDRIES’ 14nm-LPP technology, has been well accepted in the marketplace with several design wins.

GLOBALFOUNDRIES increases its manufacturing scale with fabs in East Fishkill, NY and Essex Junction, VT. These facilities will operate as part of the company’s growing global operations, adding capacity and top-notch engineers to better meet the needs of its existing and new customers.

Moreover, the transaction builds on significant investments in the burgeoning Northeast Technology Corridor, which includes GLOBALFOUNDRIES’ leading-edge Fab 8 facility in Saratoga County, NY and joint R&D activities at SUNY Polytechnic Institute’s College of Nanoscale Science and Engineering in Albany, NY. The company’s presence in the northeast now exceeds 8,000 direct employees.

The acquisition includes an exclusive commitment to supply IBM with advanced semiconductor processor solutions for the next 10 years. GLOBALFOUNDRIES also gets direct access to IBM’s continued investment in semiconductor research, solidifying its path to advanced process geometries at 10nm and beyond.

Related news: 

IBM announces $3B research initiative

Each year at SEMICON West, the largest microelectronics exposition in North America, the “Best of West” awards are presented by Solid State Technology and SEMI. The award was established to recognize new products moving the industry forward with technological developments in the microelectronics supply chain.

The Best of West 2015 Finalists will be displaying their tools on the show floor at Moscone Center from July 14-16:

  • ClassOne Technology: Solstice S4 — Solstice S4 is the first automated plating tool that delivers advanced performance on smaller substrates at affordable prices. Described as “advanced plating for the rest of us,” Solstice is designed specifically for the smaller-substrate users in emerging technologies such as MEMs, LEDs, Power Devices, RF Communications, Interposers, Photonics and Microfluidics. Solstice sets new standards for plating performance and affordability. South Hall, Booth #2521.
  • National Instruments: NI Semiconductor Test Systems — NI’s Semiconductor Test Systems (STS) feature PXI modular instrumentation and open system design software for semiconductor test environments. Unlike traditional ATE systems that incur costs as old generations of equipment become obsolete, NI STS’ open architecture allows engineers to retain their investments and easily scale. Its compact design eliminates floor space, power, and maintenance costs, and is ideal for characterization and production to decrease time to market. North Hall, Booth #5472.
  • Nordson ASYMTEK: Programmable Tilt + Rotate 5-Axis Fluid Dispenser — With requirements for precision, accuracy, and speed more stringent than ever and pushing the limits of dispensing equipment capabilities, the new programmable Tilt + Rotate 5-Axis Fluid Dispenser solves these problems, achieving unparalleled accuracy and precision in X, Y, and Z axes for thin lines and small dots, to make high-volume manufacturing possible for today’s new products. North Hall, Booth #5743.

The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 15, 2015.