Tag Archives: letter-pulse-top

The capacitive touch controller IC market is predicted to reach about $2.8 billion in 2017, an increase of nearly 50 percent from $1.9 billion in 2013, according to a new report from IHS Technology.

The controller IC market used in capacitive touch panels is likely to grow at a compound annual growth rate (CAGR) of 10.6 percent from 2013 to 2017 as the application of touch functions are expanded to various products, the report says.

“The touch controller IC price is expected to drop as competition gets fierce in the market, but the capacitive touch controller IC market is likely to maintain its positive growth trend for now,” said Seung-kyu Richard Son, senior analyst at IHS Technology. “Touch solutions that can stimulate consumers’ emotions should emerge steadily in order for the market to continue to grow.”

The touch controller IC, a key component that determines the performance of touch panels, is a non-memory semiconductor that transforms analogue signals into digital signals. This occurs when a user touches the screen on a device.

Capacitive touch technology, the mainstream in today’s touch panel market, is leading the growth in the touch panel industry. Over the past eight years, it has steadily advanced in many areas, including structures, materials and processes.

The report noted that smartphones and tablet PCs have accounted for the majority of the capacitive touch-panel demand market. But towards the end of 2012, the application of touch panels have been expanded to other applications, including notebook PCs and monitors. Along with this, touch controller ICs have become more important.

Up until 2011, US companies — including Atmel, Synaptics, Cypress and Broadcom — had dominated the capacitive touch controller IC market. But as the demand for smartphones and tablet PCs soared, Asian companies, including Melfas from South Korea, and FocalTech, Goodix and Mstar from China and Taiwan, are actively entering the touch controller IC market with enhanced skills and price competitiveness, the report says.

More notably, touch controller IC companies from China and Taiwan are rapidly growing on account of their low-priced products as well as having better relations with local smartphone and tablet PC makers. Although there are clear technological gaps between leading Western companies and the Chinese-Taiwanese touch controller IC suppliers, the gap has narrowed as latecomers continue their investments in mergers and acquisitions and R&D.

“The growth in Chinese-Taiwanese companies has resulted in a fall in supply prices for touch controller ICs, which is having a positive impact on manufacturers,” Mr. Son said. However, an excessive drop in prices can lead to lower profits for some companies and, in the end, will curb new investments.”

These findings are available in the report, “Touch Controller IC Market & Development Trend Report,” from IHS Technology.

Touch_controller_IC_pic

Each year at SEMICON West, the largest and most influential microelectronics exposition in North America, the “Best of West” awards are presented by Solid State Technology and SEMI. The award was established to recognize contributors moving the industry forward with their technological developments in the microelectronics supply chain.

The 2014 Best of West Finalists are:

  • Microtronic: EAGLEview IV — EAGLEview IV is an automated macro defect wafer inspection system that provides industry leading throughput (3,000+ Wafers Per Day), defect detection accuracy, and wafer classification. EAGLEview IV resolves many of the problems of manual/micro wafer inspection by automating and standardizing wafer inspection. South Hall, Booth 729 (Category: Metrology and Test)
  • Nikon Corporation: NSR-S630D Immersion Scanner — The NSR-S630D ArF immersion scanner leverages the well-known Streamlign platform, incorporating further developments in stage, optics, and autofocus technology to deliver unprecedented mix-and-match overlay and focus control with sustained stability to enable the 10/7 nm node.  South Hall, Booth 1705 (Category: Wafer Processing Equipment)   
  • SPTS Technologies:  Rapier XE — Rapier XE is a new, 300mm, plasma etch module which can lower costs and increase yields for device manufacturers utilizing TSVs for 3D packaging.  Designed for via reveal applications, the new module offers blanket silicon etch rates typically 3-4x faster than competing systems. South Hall, Booth 1317 (Category: Wafer Processing Equipment)   

The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 9, 2014.

By Brian Cronquist, VP Technology & IP, MonolithIC 3D Inc.

As dimensional scaling has reached the diminishing return era there is a buildup of interest in monolithic 3D as an alternative path forward. Both memory and logic vendors are moving to monolithic 3D. The memory vendors are in transition to 3D NAND and Samsung has already announced mass production of their V-NAND. BeSang has been working in monolithic 3D memory for many years and has recently signed a license agreement with SK Hynix. And now, in the logic arena, Qualcomm has voiced a call for monolithic 3D “to extend the semiconductor roadmap way beyond the 2D scaling.” The reason is economic: … “although we are still scaling down, it’s not cost-economic anymore” (Karim Arabi, DAC 2014).

A key aspect of monolithic 3D is engineering the second layer to be especially thin, on the order of 100nm or less. This provides for tiny (10s of nm diameter) vertical connections which are dense, manufacturable, and stress-free.  They can be manufactured with well understood processing as these vertical connections would look very much like the metal to metal vias that the industry has been making for decades. This avoids the 10+ micron sized TSVs of parallel 3D and their associated reliability hazards, process cost, Keep Out Zones, and ‘newness risk’.

When performance is important, single crystal silicon based transistors are the way to go for stacked layers. So far, it seems that the best technique to form such thin mono-crystal layers with the required thickness control is to use the volume production and well proven ion-cut process. Many of the high performance monolithic 3D process flows utilize ion-cut techniques, sometimes called ‘Smart-Cut’.

However, use of ion-cut creates a small number of crystal defects in the very thin single crystal layer-transferred film. I’ll talk about some techniques that may be employed to solve this but, first, let’s explore why defects are created in the ion-cut process.

The high dosage of ions used in the process creates damage to the silicon lattice at, and near, the ion-stopping depth, such that the lattice becomes brittle there; hence, can be ‘cut’ or ‘exfoliated’ with a force (e.g., knife, water jet) or thermal anneal. After separation of the layer to be transferred from the donor substrate, this ‘donor layer’ will still have some of the silicon lattice damage from the embrittlement on one surface, and may also have some damage from the splitting process itself. Soitec, in the manufacture of SOI wafers, utilizes 1100-1200°C thermal anneals (both oxidizing and non-oxidizing) in combination with chemical-mechanical polishing (CMP) to repair the crystalline damage, as part of its SmartCut (ion-cut) process. However, these damage repair anneals are not compatible with the commonly used low melting point/hi-diffusivity interconnect metals like copper or aluminum of the lower device layer in a 3D stack. BeSang has a nice tutorial video explaining this on their website. Here’s a snapshot:

Fig 1

Further, the passage of the ions used in the ion-cut process creates a lower level of damage to the silicon lattice of the bulk of the to-be-transferred donor layer as the ions pass thru the lattice. This bulk lattice damage can cause junction leakage, and lower the performance of devices. Annealing this type of lattice damage requires temperatures of about 600°C or greater, which – again – is incompatible with the commonly used interconnect metals of the lower device layers in a 3D stack.

Now let’s look at two silicon device proven methods that are available to overcome the ion-cut induced defects and can be applied to the ion-cut layer transfer for monolithic 3D devices and  structures.

Radu et al. of Soitec, in U.S. Patent Application Publication 2013/0026663, describe a method for curing defects associated with ion-cut implantation by a CMP and then a laser anneal of the transferred singe crystal silicon layer.

Singe crystal silicon donor wafer 1 is ion-implanted with a heavy dose of hydrogen or helium ions to create a brittle region 11 as shown in Fig. 1A. Then the donor wafer is flipped over and bonded to the top of a receiver substrate 2 that may have transistors and interconnect metallization 20, shown in Fig. 1B. Layer 3 is a low thermal conductivity or thermal insulating layer that will help thermally protect the transistors and interconnect metallization 20 of substrate 2.

Fig 2

Fracturing along the brittle region 11 may be done with any number of techniques, such as mechanical knife, water or gas jet, etc., leaving behind transferred silicon layer 10. The transferred layer surface 12 may be CMP’d to remove the majority of the roughness and surface defects, resulting in Fig. 1C.

Fig 3

However, there are still bulk lattice damage centers in transferred silicon layer 10. Radu et al. takes care of them thermally by applying pulses of electromagnetic energy. Specifically mentioned are the pulsed lasers of Excico and JPSA.

Fig 4

The wavelength of the irradiation is chosen such that the majority of the pulsed energy is absorbed in transferred layer 10. The low thermal conductivity or thermal insulating layer 3 minimizes the thermal diffusion from the heated transferred layer 10 to the interconnect metallization and must be designed properly to handle the thermal pulse of the layer above. Temperatures high enough to cure the ion-cut induced defects and reactivate any ion-cut deactivated dopants in transferred layer 10 can be achieved. For example, as Figs. 5A and B show, the transferred thin (0.8um in this case) silicon layer (a) may achieve a temperature well above 1000°C from the laser pulse, and the interface (b) between substrate 2 and thermal insulating layer 3 will stay well below 400°C.

Fig 5

Fig. 5A shows the JPSA laser at 193nm and 20ns pulse FWHM (Full-Width Half-Max) and Fig. 5B shows the Excico laser at 308nm and 160ns pulse FWHM.

We have also published work on laser annealing at 2013 IEEE 3DIC and 2013 IEEE S3S Conferences showing how scaling trends can make monolithic 3D practical and the substantial design space of the laser wavelength/energy/pulse width, top layer thickness, and shielding/thermal protection layers which can make single crystal monolithic 3D possible.

Clearly, stacking of ultra-thin layers of defect free single crystal silicon can be readily accomplished and the tools to realize this are available from at least two vendors.

At ESSDERC (43rd Solid State Device Research Conference) in September of 2013, Radu et al. in collaboration with CEA-Leti, presented a different way of obtaining low defect single crystal silicon stacks. Low temperature Solid Phase Epitaxial Re-grow (SPER) is combined with ion-cut to demonstrate defect free diodes with processing temperatures less than 500°C.

SPER utilizes a small amount of crystalline silicon as a template to re-crystallize an amorphous silicon layer at temperatures just above 475°C and can be used to activate dopants above the solubility limit.

Fig 6

SPER can be combined with low temperature ion-cut (SmartCut) and bonding techniques to obtain defect free single crystal devices. Donor wafer doped silicon is amorphized before bonding and ion-cut implanted to create the brittle zone, flipped and bonded to the handle, SPER processed, and then thinned to remove the End Of Range defects.

Fig 7

No crystalline defects were seen utilizing the usual physical means:

Fig 8

However, the tougher test to satisfy is always the electrical one. Radu showed excellent diode characteristics, resistivity, concentration and mobility recovery. Here are some of their diode I(V) curves:

Fig 9

I would not be surprised if demonstration of transistors is published in the near future.

So, hopefully I have given you at taste of how ready an important piece of the monolithic 3D puzzle is to delivering on its promises. Back in December 2013, Soitec and CEA-Leti renewed their long-standing partnership for five additional years. I think it is safe to say that more will be coming soon.

Give me a call or email if you want to talk more…

By David Holden

Cars that can get along without drivers are coming, down the road, but they are a small part of the changes that the global transportation industries will undertake as microelectronics and the Internet of Things prompt major changes in infrastructure and logistics, as well as all type of vehicles.

Speakers at the opening session of “The Internet of Things: from sensors to zero power,” a LetiDays conference in Grenoble, France, shared their near-term forecasts for transport and the multiple opportunities that will stem from these changes.

Vincent Roger, transport business development manager at CEA-Leti explained that Leti-designed autonomous sensors allow monitoring of wear and tear on roads and train tracks, which enables their owners to predict when maintenance will be required. An emerging, potentially disruptive result of the IoT and sensors that monitor activity is a pay-per-use business model, in which owners pay manufacturers for the actual use of equipment rather than purchasing it.

 

Even automakers may move toward a service-based business model, and away from just car manufacturing, said Matt Hatton, director at Machina Research.

 

Presenters agreed that privacy concerns, based on devices tracking movement and activity of consumers, may be a barrier to rapid adoption of IoT applications. But Gilles Le Calvez of Valeo said that as they increasingly understand the benefits of connectivity, consumers will accept it more. He also showed a video of a driverless Valeo automated vehicle equipped with sensors and other microelectronics that is able locate and pull into open parking spaces.

 

Roger explained a new Leti “morpho” technology, using piezoelectric elements, that can be used for IoT applications that provide structural-health monitoring (SHM) for railways, bridges and pipes or cables buried or hidden inside tunnels.

 

Leti’s MEMS-based SHM systems enable real-time and remote monitoring, including tracking the infrastructure response to storms and other events, and the changes over time. These SHM systems include sensors networks, embedded signal processing and optimization of power consumption.

Several speakers emphasized the importance of controlling power consumption of the billions of devices that are projected to be connected to the IoT in the next decade. Leti CEO Laurent Malier said the power, performance and cost advantages of Fully Depleted Silicon-on-Insulator (FD-SOI) devices are well suited to power IoT applications because of the technology’s high-performance and low power consumption features. Leti and STMicroelectronics recently demonstrated an ultra-wide-voltage range (UWVR) digital signal processor (DSP) that provides up to 50 percent lower power consumption than competing technologies.

David Holden is Cooperative Programs Manager at CEA-Leti

By Pierre-Damien Berger, vice president of business development and communication

Industries ranging from chemicals to agri-food to bio-tech and pharmaceuticals are looking at new sensor technologies to streamline processes and improve quality control. By customizing sensors for specific applications, CEA-Leti designers are developing inline process-measurement systems that can dramatically improve both quality control and productivity, and meet regulatory requirements, particularly in the pharma industry.

Speaking at the annual LetiDays event last week in Grenoble, France, Claude Vauchier, Leti lab-on-chip program manager, said such process-control systems allow quality control of raw materials and final products, identify critical process parameters for product quality, offer a process-measurement system for in-line or on-line monitoring and include a control system that can adjust critical quality attributes.

Based on components from Leti’s toolbox, the systems can use enzymatic sensors, electro-chemical sensors for ionic species detection, conductivity sensors or various optical sensors.

Vauchier described a promising new market for process monitoring based on lensfree imaging, a unique opportunity for companies to implement a technological breakthrough in optical imaging.

Developed by Leti in 2009, the technique provides multi-scale observation capability across two orders of magnitude, allowing researchers to differentiate between tissues and cells, and bacteria and viruses. The lensfree optical imaging system is much smaller than standard microscopes, and less expensive because it is made of low-cost components.

The sensors in the system do not come in contact with the media, but nonetheless can control it, including measuring concentration, distribution or morphology of different biological objects. That is a unique and cost-saving feature of the technology, Vauchier said.

The technique generates holographic images of micro-particles, cells, viruses or bacteria by employing a light-emitting diode to illuminate objects, and a standard CMOS digital sensor to capture their image. Raw images are treated with specific algorithms, so results are available instantaneously on a computer. The process has an extremely large field of view (24mm2), allowing simultaneous observation of thousands of organisms.

Vauchier said Leti is collaborating with the startup Iprasense to develop a process-control system for cell cultures in bio-production. Bioproduction is used in the fields of biopharmaceuticals, food manufacturing, cosmetics, and biofuels, as well as in bio-industries that produce enzymes, flavors, organic acids, antibiotics, vitamins or organic polymers.

The company is targeting applications for monitoring, counting and characterizing cells cultivated in incubators and bioreactors as part of the bioproduction process.

Its first product, expected by year-end, will be a smart instrument for cell culture monitoring in a flask, a petri dish, or a microtiter plate, in bioreactors. It will not only capture every moment of the cell culture, but also will instantly analyze the live recording to give researchers real-time information on cell numbers and confluence, or how well the cells have generated an even layer across a cell-culture flask.

LetiDaysBLog

By Shannon Davis, Web Editor

The core element of the semiconductor industry’s roadmap has been scaling – but Gopal Rao believes that isn’t enough anymore.

“The roadmap has never taken into consideration what the consumers were asking for,” said Mr. Rao, on Wednesday’s closing session at The ConFab 2014.

The industry has enjoyed a stable, predictable industry for many years, as we made PCs and a lot of PCs. However, these are no longer the driving devices in the consumer market, and with different cost structures and more pressure to innovate than ever before, Mr. Rao stressed that the industry’s tendency to solely focus on scaling was no longer going to be enough to keep up with shifting consumer demands. Mr. Rao’s main charge: the industry needs to intercept consumer thought and demand and determine how it is going to impact the semiconductor industry and supply chain.

“We need to cater the roadmap to the technologies that are coming and the products that consumers want,” Mr. Rao said.

In order to adapt, Mr. Rao explained that it was imperative to integrate the entire supply chain into the roadmap if we really want to make significant strides in the manufacturability of these new products.

“We need to look at the roadmap as an ecosystem – not just materials, not just equipment, but the entire picture. We need to understand how to bring the supply chain into the picture,” Mr. Rao said.

To do this, Mr. Rao outlined the elements of effective problem solving and encouraged his audience to become masters of it. To be effective in the evolving technology landscape, Mr. Rao stressed the importance of understanding and analyzing every aspect of the supply chain, down to the smallest component, all of which contribute to defects and can no longer be ignored if quality is to be maintained.

“You need to understand to the smallest degree of your supply chain,” Mr. Rao charged ConFab’s attendees. “You need to analyze and trace the data. If you don’t do that, then the time to market and time to money are sacrificed.”

“We can’t follow Moore’s Law conveniently and forget about what’s two years down the road,” he concluded.

Gopal Rao presents at The ConFab 2014 on June 25, 2014.

Gopal Rao presents at The ConFab 2014 on June 25, 2014.

SPTS Technologies, a manufacturer of etch, deposition and thermal processing equipment for the semiconductor industry, today announced that it has signed an agreement with CEA-Leti in Grenoble, France, to develop 3D-TSV technologies.

The two-year agreement enters under the framework of the Nanoelec Research Technology Institute program which is led by CEA-Leti, and covers co-development of a range of deposition processes for next-generation 3D high aspect ratio through-silicon-via (TSV) solutions. The agreement builds on the long established relationship between the partners who have already collaborated in the past, particularly on the development and optimization of an advanced MOCVD TiN barrier for high aspect ratio TSV.

3D packaging of semiconductor devices, using TSVs to connect stacked die, is accepted as a critical technology to deliver industry performance goals without exceeding power budgets. To scale future 3D devices, new techniques will be needed to manufacture TSV’s of smaller diameter and higher aspect ratio than are used today.  Under this agreement, SPTS and CEA-Leti aim to develop production worthy solutions to address these challenges. Previous collaboration has resulted in a number of key advancements in the formation of TSVs using SPTS’ deep reactive ion etch (DRIE), chemical vapor deposition (CVD) and physical vapor deposition (PVD). One of the key achievements includes optimization of an advanced metal organic chemical vapor deposition (MOCVD) TiN barrier for high aspect ratio TSV.

“The results previously achieved keeps SPTS at the forefront of 3D-TSV development,” said Kevin Crofton, president and chief operating officer of SPTS. “In partnership with CEA-Leti, we plan now to develop technology and processes that will further extend TSV aspect ratios beyond 20:1, with a particular focus on developing an MOCVD copper process as a seed layer to replace ionized PVD.”

“The work with SPTS and other partners will create solutions that will be transferred into industry,” said Dr. Laurent Malier, CEO of CEA-Leti and President of the Nanoelec RTI board. “Combining Leti’s integration expertise with the specific process knowledge of successful equipment manufacturers like SPTS enables innovation and allows us to create an optimized, cost-effective process flow for volume manufacturing of 3D-IC devices.”

Micron Technology, Inc., a provider of advanced semiconductor solutions, today announced an ongoing collaboration with Intel to deliver an on-package memory solution for Intel’s next-generation Xeon Phi processor, codenamed Knights Landing. The memory solution is the result of a long-term effort between the two companies to break down the memory wall, leveraging the fundamental DRAM and stacking technologies also found in Micron’s Hybrid Memory Cube products.

Read more: Inside the Hybrid Memory Cube

“The ecosystem is changing and the importance of scalable on-package memory and memory bandwidth is now coming to light,” said Chirag Dekate, Research Manager at IDC. “Memory is at the heart of the solution space which will benefit both big compute and big data. This announcement is a clear validation of how Micron is advancing the role and impact of memory on systems and the value that 3D memory can deliver.”

Delivering 5X the sustained memory bandwidth versus DDR4 with one-third the energy per bit in half the footprint, the Knights Landing high performance, on package memory combines high-speed logic and DRAM layers into one optimized package that will set a new industry benchmark for performance and energy efficiency. The memory stack provides optimal levels of reliability, availability, and serviceability, which are critical elements for high-performance computing systems. One of the first applications of the Knights Landing system—a next-generation Cray XC supercomputer—was announced by NERSC on April 29.

“Intel’s many integrated cores (MIC) architecture and Micron’s high performance memory is a formidable combination,” said Tom Eby, vice president for Micron’s compute and networking business unit. “Intel’s and Micron’s advanced technologies successfully marry the processor to a memory system that delivers the very rare coupling of low power and extreme bandwidth.”

“The next-generation Intel® Xeon Phi processor, codenamed Knights Landing, will launch with up to 16GB of high performance, on-package memory that delivers dramastically improved the sustained memory bandwidth versus DDR4 and brings tremendous power-efficiency and space-savings. It is the first Intel HPC processor to use this new high performance on package memory,” said Charles Wuischpard, Vice President, General Manager, Workstations and High Performance Computing Data Center Group at Intel. “This will allow the world’s leading researchers, scientists, and engineers to run larger workloads faster while maintaining current code investments. We’re pleased to be working with Micron to deliver it.”

Avago Technologies and PLX Technology, Inc. announced today that they have entered into a definitive agreement under which Avago will acquire PLX, a developer of PCI Express silicon and software connectivity solutions. Avago Technologies Limited is a designer, developer and global supplier of a broad range of analog semiconductor devices with a focus on III-V based products and complex digital and mixed signal CMOS based devices.

The companies valued the  deal at approximately $309 million, or $293 million net of cash and debt acquired. Under the terms of the agreement, which was approved by the Boards of Directors of both companies, a subsidiary of Avago will commence a tender offer for all of the outstanding shares of PLX common stock for $6.50 per share in cash.

“Once closed, this transaction will provide immediate value to our stockholders and offers new growth opportunities for our employees to develop leading-edge solutions for our customers,” said David Raun, President and Chief Executive Officer of PLX.

The transaction is subject to customary closing conditions, including the tender into the offer by PLX stockholders of shares representing at least a majority of the outstanding shares of PLX common stock on a fully diluted basis, and the receipt of relevant regulatory approvals, including the expiration or termination of the applicable waiting period under the Hart-Scott-Rodino Antitrust Improvements Act and relevant foreign antitrust laws. It is expected that the transaction will close in the fourth quarter of Avago’s fiscal year ending November 3, 2014.

For sub-22nm device generations, device manufacturers are likely to adopt PDMAT precursor for ALD-TaN barrier films for copper interconnect structures.

BY LEIJUN HAO, RAVI K. LAXMAN and SCOTT A. LANEMAN, Digital Specialty Chemicals, Toronto, Ontario, Canada.

At sub-micron device technology, copper is the interconnect metal of choice because of low resistivity, 1.7μΩ-cm, high current densities and excellent thermal conductivity. These characteristics of copper are increasingly important for supporting sub-22nm lines with high device density and speed. Deposition of copper lines can be achieved by a variety of techniques. A standard method generally involves physical vapor deposition (PVD) and electrochemical deposition (ECD). Because copper diffuses into silicon, silicon dioxide, and other low k dielectric materials, which can “poison” the device, Ta/TaN films are used as copper diffusion barriers. Copper integration schemes at sub-22nm use low-k dielectric PVD Ta/TaN barrier/ PVD copper seed/ ECD-Cu material stack [1].

Conventionally, tantalum nitride has been deposited by physical vapor deposition. As conformality becomes crucial for interconnect applica- tions PVD or CVD processes are challenged to achieve aspect ratio of 5:1, due to directional limitations and form “pinch off” resulting in a void formation. When aspect ratio exceeds 5:1 a large amount of effort is focused in the formation of void and seam-free thin layers.

These difficulties with PVD and CVD motivate TaN-atomic layer deposition (ALD) process. At very tight geometries ALD is preferred over other techniques due to excellent conformality [2]. ALD of a tantalum nitride barrier layer involves sequen- tially pulses of pentakis(dimethyl-amino)tantalum (PDMAT), a tantalum nitrogen-containing precursor followed by reaction with ammonia to a process chamber. Deposition of ALD TaN films is also reported [3] using a mixed remote hydrogen (H2) and ammonia (NH3) plasma to reduce PDMAT at 275°C. As the (CD) features are scaled below 22nm BEOL, along with integration challenges, tight precursor composition and manufacturing of ultrahigh purity ALD precursors are desired for successful metallization.

ALD deposition for very thin conformal barrier films (<25 Å) could potentially reduce via resis- tance and does not impact electromigration of copper. Low via contact resistance at the contact area is also dependent on several factors such as trace impurities in the precursors, morphology of the barrier, deposition process, film nucleation and surface interface. In one study, a 10Å PEALD TaN reduced via resistance by 50% compared to the PVD TaN [3]. ALD growth rate also varies with the underlying layers, W < ULK< Cu. From a film property characterization point of view, the density and resis- tivity of PEALD TaN film is about 11.6 g/cm3 and ~2000 μΩ-cm. Ta-rich PVD TaN has a higher density of about 15.0 g/cm3 and a resistivity around 250 μΩ-cm. It was observed that density of thermal ALD TaN using PDMAT is ~90% of the density of PEALD TaN (thermal ALD TaN < PEALD TaN < PVD TaN). With appropriate precursor, ALD TaN also supports desired -Ta and suppresses more resistive -Ta nucleation [5].

FIGURE 1. Improved methods of purification have been used to produce microcrystalline pale yellow PDMAT with purity of >99.99995% (determined by trace metals and other spectroscopic methods) with extremely low chloride (<10ppm), low oxygen and total trace metals.

FIGURE 1. Improved methods of purification have been used to produce microcrystalline pale yellow PDMAT with purity of >99.99995% (determined by trace metals and other spectroscopic methods) with extremely low chloride (<10ppm), low oxygen and total trace metals.

At film thickness of 10-25Å trace metal impurities in the precursors play detrimental role in the density, resistivity and nucleation properties. Among the impurities tight control of oxygen concentration in the precursors and deposited films are extremely important. Especially, in the case of tantalum metal, being an electropositive metal it forms strong bonds with oxygen; the resulting “Ta-O” behaves as a capacitor in deposited films rather than a conductive barrier with low resistance. Hence low oxygen concentrations in precursor play an important role in ALD film properties.

The manufacturing of extremely high purity in high volume poses several challenges. PDMAT is extremely sensitivity to oxygen and water. For this and other reasons, the chemical synthesis of the PDMAT involves important sequence of purification technologies under inert conditions. We have developed novel purification methods and successful production of highly oxygen and moisture sensitive ALD precursor in High Volume Manufacturing (HVM). The yellow crystalline end product is shown in FIGURE 1, and TABLE 1 lists common properties.

HVM Table 1

Challenges in manufacture of PDMAT

PDMAT is synthesized in HVM by well-estab- lished metathesis reaction between TaCl5 and LiNMe2 as reported in the literature (equation 1).

TaCl5 + 5LiNMe2 5LiCl + Ta(NMe2)5

PDMAT is relatively stable. However, high conversion to Ta(NMe2)5 presents several challenges because the reaction tends to give a mixture of Ta(NMe2)5, TaCl(NMe2)4, and Ta2(μ-Cl)2(NMe2)6Cl2 due to the equilibrium between them. Unfortunately, the use of large excess of LiNMe2 causes the formation of Me2NCH2N(H)Me; this results in the formation of the byproduct Ta(NMe ) (2-MeNCH NMe ). 2422

On the other hand, due to the abundant chloride ions available in the reaction solution, Ta(NMe2)5 can undergo chloride metathesis to produce byproducts such as TaCl(NMe2)4. Due to this complication of the by-products and the equilibrium between them, the isolated yield of PDMAT from TaCl5 and LiNMe2 is low at ~50%. Digital Specialty Chemicals has studied exten- sively the synthesis of PDMAT by metathesis between TaCl5 and LiNMe2. At optimized reaction conditions, in situ PDMAT yield, as high as 99% can be achieved. Typically, the crude PDMAT isolated from the reaction has a purity of 90-96%. Sublimation of the crude material under high vacuum gave orange crystalline solid with a purity of only 95-96% as determined by 1H NMR spectroscopy.

Isolation and purification of PDMAT presented another challenge because PDMAT is extremely sensitive to both air and trace oxygen. Organometallic precursor with low halide content is required because halides in the barrier layer may attack the copper layer and cause corrosion. PDMAT obtained by crystallization method usually contains high levels of chloride (>80ppm), lithium (>40ppm) and other metals. Although PDMAT has an adequate vapor pressure and can be sublimed, sublimation can only reduce chloride, lithium, and other impurities to a certain degree, because some of the impurities also co-sublime. Purification can be performed by a series of sequential steps and ultra-high purity PDMAT (>99.6% as determined by 1H NMR spectroscopy, FIGURE 2) was achieved by following these processes in an in-house developed reactor. Our manufacturing processes produce micro crystalline pale yellow crystalline solid with purity of >99.99995% (determined by trace metals and other spectroscopic methods) with extremely low chloride (<10ppm), low oxygen and total trace metal analysis. Ultra high purified pentakis(dimethylamido) tantalum having less than about 10 ppm of chloride and extremely low oxygen content can be used as an effective barrier layer for copper. In our high volume production we have developed unique purification processes that allow production of highly crystalline material.

FIGURE 2. 400 MHz 1HNMR (X10) spectroscopy indicates the ultra-high purity of the materials, <99.6%.

FIGURE 2. 400 MHz 1HNMR (X10) spectroscopy indicates the ultra-high purity of the materials, <99.6%.

Crystalline material is extremely useful in controlling the carryover of small amorphous particles through carrier gas stream. PDMAT is typically introduced as a vapor dissolved in a carrier gas by flowing a carrier gas through a canister containing solid precursor. The canister is heated uniformly to allow clean evaporation of precursor dissolved in the carrier gas (e.g. nitrogen, helium). Optical characterization of PDMAT vapor in an ALD pulse process was recently published [6], the low PDMAT partial pressure is due to low PDMAT vapor pressure and loss of heat of vaporization of the PDMAT powder, and also low carrier gas saturation. High carrier gas mass flow rates do not necessarily result in a higher mass transport of precursor. A microcrystalline PDMAT may help in better contact and resonance time for cleaner and less particulate delivery.

Reactivity with oxygen and moisture

PDMAT reacts with both oxygen and water very easily and is extremely sensitive to oxygen contami- nation. With moisture it results in several tantalum oxo amide compounds. In our high volume manufac- turing we have observed that the tantalum oxoamide compounds are not easily removed from the PDMAT only by sublimation. Several of these tantalum oxo amide compounds sublime themselves and ultra-high purity PDMAT cannot be achieved. Moreover high volume sublimation systems are unavailable to handle extremely air and water sensitive organometallic chemistry. Chen et al. [4] in an excellent publication provided detailed X-ray structural and spectroscopic evidence that indicates formation of unusual oxo-amino complexes of PDMAT. They have also independently isolated and characterized these impurities by both 1H-NMR and X-ray structure determination.

Analysis of high purity PDMAT

Ultra High purity PDMAT is analyzed for trace metal impurities by ICPMS, 1H NMR and 13C{1H} 400MHz NMR are used for organic and Ta-Oxo impurities characterization (TABLE 2). The product is further characterized by TGA (for residual %, <0.5%) indicating clean evaporation of the product.

TABLE 2. 400MHz 1HNMR Chemical Shifts for major Tantalum-Oxo impurities.

TABLE 2. 400MHz 1HNMR Chemical Shifts for major Tantalum-Oxo impurities.

Analysis of trace amounts of oxygen content in highly purified PDMAT is very difficult but can be estimated at ppm level based on high resolution 1HNMR spectroscopy and other techniques. Since Chen et al. have clearly identified each of the oxo-tantalum species in PDMAT, impurities in PDMAT that contain only tantalum-oxygen species can be identified. Typically a 400MHz 1H NMR provides a good estimate on Ta-O content; these impurities species are well resolved from the product for accurate estimation. Alternatively, one can estimate the oxygen content by analysis of deposited tantalum nitride films by Auger, SIMS and other techniques.

Conclusions

At the sub-22nm device generation, device manufacturers are likely to adopt PDMAT precursor for ALD-TaN barrier films for copper interconnect structures. PDMAT is an extremely sensitive material and significant improvements have been made from the standpoint of synthesis, purification and consistent production of high purity of PDMAT (>99.99995%) in HVM.

References:

1. B. B. Burton, A. R. Lavoie and S. M. George, Journal of the Electrochemical Society, 155, 7, D508-D516 (2008)

2. Ville M, M. Leskelä, M. Ritala, and R. L. Purunen, Jour- nal of Applied Physics 113, 21301 (2013)

3. J. Nag, A. Simon, Oscar V. Straten, A. Madan, P. De- Haven, L. Tai, J. C. Rowland, M.A. Zaitz, S. Molis, R. Murphy, F. Baumann, T. Bolom, J.Y. Lee, C. Niu, H.Kim, S. Krishna, X. Zhang, ALD Conference, 2013.

4. Shu-Jian Chen, Xin-Hao Zhang, Xianghua Yu, He Qiu, Glenn P. A., Yap, I. A. Guzei, Z. Lin, Yun-Dong Wu, and Zi-Ling Xue, J. Am. Chem. Soc. 129, 14408-14421, 2007

5. M. Stangl, A. Fletcher, J. Acker, H. Wendrock and K. Wetzigi, Journal of Electronic materials, Vol. 36, No. 12, 2007

6. J.E. Maslar, W.A. Kimes, B.A. Sperling, P.F. Ma, J. An- this, J.R. Bakke, R. Kanjolia
ALD Conference, 2013.