Tag Archives: letter-semi-business

SEMI, the global industry association for companies that supply manufacturing technology and materials to the world’s chip makers, today reported that worldwide semiconductor manufacturing equipment billings reached US$9.4 billion in the second quarter of 2015. The billings figure is 1 percent lower than the first quarter of 2015 and 2 percent lower than the same quarter a year ago. The data is gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 100 global equipment companies that provide data on a monthly basis.

Worldwide semiconductor equipment bookings were $10.2 billion in the second quarter of 2015. The figure is 2 percent higher than the same quarter a year ago and 6 percent higher than the bookings figure for the first quarter of 2015.

The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

2Q2015 1Q2015 2Q2014 2Q15/1Q15 (Qtr-over-Qtr) 2Q15/2Q14 (Year-over-Year)
Taiwan 2.34 1.81 2.48 29% -6%
Korea 2.00 2.69 1.73 -25% 16%
North America 1.55 1.47 2.32 5% -33%
Japan 1.40 1.26 1.00 12% 40%
China 1.04 1.16* 1.03 -10% 1%
Rest of World 0.53 0.43 0.50 24% 7%
Europe 0.52 0.69 0.57 -24% -7%
Total 9.39 9.50* 9.62 -1% -2%

SEMI honored six industry leaders for their outstanding accomplishments in developing Standards for the microelectronics and related industries. The annual SEMI Standards awards were announced at the SEMI Standards reception held last night during SEMICON West 2015. 

2015 SEMI International Standards Excellence Award, inspired by Karel Urbanek

The SEMI International Standards Excellence Award, inspired by Karel Urbanek, is the most prestigious award in the SEMI Standards Program. The 2015 recipient is Dr. Jean-Marie Collard of Solvay Chemicals. The Award recognizes the leadership of the late Karel Urbanek, co-founder of Tencor Instruments and a past SEMI Board of Directors member who was a key figure in the successful globalization of the Standards Program.

Active in SEMI Standards development since 1997, Collard co-chaired the European Chapters of the Gases and Liquid Chemicals Committees since 2003. Under his leadership, the committees created numerous Standards for the semiconductor and solar manufacturing industries.  Collard has been instrumental in ensuring that the standards developed are relevant. He has actively recruited key players in the supply chain to contribute to development efforts, making certain that the published Standards reflect the true needs of the industry.  He also served as co-chair of the European Regional Standards Committee (ERSC) from 2009 to 2013, steering the ERSC through difficult economic times. As ERSC co-chair, Collard was also an International Standards Committee member, and provided valuable, practical input for new proposals, including the current effort to establish virtual meetings.

Collard earned his Master’s degree and Ph.D. in analytical chemistry from the University of Liege, Belgium. He joined Solvay in 1988 and has worked in Belgium, France, and the United States.

Merit Award

The Merit Award recognizes a Standards volunteer major contributions to the semiconductor industry through the SEMI Standards Program. Award winners typically take on a complex problem at the task force level, gain industry support, and drive the project to completion. Matt Milburn of UCT established the Surface Mount Sandwich Component Dimensions Task Force, within the North America Chapter of the Gases Committee, in April 2013 to develop standards for “sandwich” components (components located between substrate and another component). At the time of Task Force formation, these components did not have dimensional standards in place and varied by each manufacturer, resulting in interchangeability issues between manufacturers of functionally equivalent components.  Milburn addressed this problem by leading the successful development of ballot 5595, Specification for Dimensions of Sandwich Components for 1.125 Inch Type Surface Mount Gas Distribution Systems, which was recently approved by the Gases Committee and will be published as SEMI C88-0715.

Leadership Award

The Leadership Award recognizes volunteers who have demonstrated outstanding leadership in guiding the SEMI Standards Program. This Award is presented to individuals who have strengthened the Program through member training, mentoring, and new member recruitment. Frank Parker of ICL Performance Products and Frank Flowers of PeroxyChem have co-chaired the North America Chapter of the Liquid Chemicals Committee for over ten years. During this time, Parker and Flowers have overseen the development of new specifications and analytical test methods for liquid chemicals while keeping the extensive catalog of previously developed liquid chemical standards up-to-date with current industry needs. Their experience and patience has been critical in transforming new volunteers into productive committee contributors, effectively guiding them through the standardization process and minimizing wasted efforts.

Honor Award

The Honor Award is presented to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards. Dr. Jaydeep Sinha of KLA-Tencor has contributed to the Silicon Wafer Committee for over 15 years and has led the development of numerous metrology standards. In addition to leading the Advanced Wafer Geometry Task Force, Sinha organized several SEMI Standards workshops around the world, recruiting technologists from leading device makers, equipment suppliers, and consortia to educate local audiences on recent developments and future needs in wafer geometry. Sinha also actively works to keep the Silicon Wafer Committee familiar with oncoming industry trends, frequently inviting industry experts to speak at committee meetings on hot topics.

Corporate Device Member Award 

The Corporate Device Member Award recognizes the participation of the user community and is presented to individuals from device manufacturers. Dr. Jan Rothe of GLOBALFOUNDRIES is this year’s recipient. Rothe has been active in SEMI Standards since the mid-2000s, and has led the International E84 (Specification for Enhanced Carrier Handoff Parallel I/O Interface) Revision Task Force since 2007. Rothe’s consistent participation in the Physical Interfaces and Carriers Committee and feedback on ballot proposals has ensured that the customer perspective is reflected in all committee output.

By Pete Singer, Editor-in-Chief

Opportunities for cost savings abound in the “sub-fab” of semiconductor operations where the vacuum pumps and gas abatement systems

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

reside. Typically, these systems are running full tilt, no matter what’s going on in the process tool.

In a case where the cobbler’s children may finally be getting new shoes, work is underway to improve the communication between sub-fab equipment and process tools so that fuel in gas abatements systems can be turned off if there’s nothing to abate, and vacuum pumps can be throttled back or slowed if there’s nothing to pump.

“If you have equipment that is enabled with this capability, you can access these savings by essentially turning down the power or the fuel gas consumption when they’re not actually required for chip processing, said Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Czerniak gave a talk at 2:00pm on Tuesday at SEMICON West as part of the Sustainable Manufacturing Forum. The forum, held on Tuesday in Moscone North, Hall E, Room 132 from 10:00am to 5:00pm, allows experts to share the latest information on the environmental and social impacts of advanced technologies that are likely to be introduced into semiconductor manufacturing in the near future.

At SEMICON West in 2014, Czerniak was honored with SEMI’s Merit Award, along with Daniel Chlus (IBM) and Lance Rist (RistTex). The trio, were part of the Energy Saving Equipment Communication Task Force responsible for developing new standards designed to help reduce energy consumption in production equipment, specifically the SEMI E167 standard.

While production equipment and support equipment are all capable of reduced utility consumption, implementation has been slow due to lack of a standard.

SEMI’s E167 solved one piece of the puzzle – enabling the factory host to tell the process too that there are no wafers coming, for example – another standard is needed for the tool to communicate with sub-fab equipment that it, too, can power down. That is where a new standard, SEMI S23 comes in. “Once the tool has decided it doesn’t need pumps and abatement for the next 45 minutes or so — whatever it decides — it can then cascade that message down to the subfab where the energy savings will actually take place,” Czerniak explained.

At SEMICON West, a working group of the SEMI S23 task force is preparing additions to the Related Information section of SEMI S23 to provide for suggested utility-consumption test conditions and report formats for some components and peripheral equipment commonly used in semiconductor manufacturing equipment systems.

The components initially considered are dry vacuum pumps, refrigerated chillers and heat exchangers, although other components such as process power equipment may be considered soon. Also under discussion is the inclusion of Related Information for the application of efficiency rating systems for components and peripheral equipment. The goal of the working group is to produce suggested new Related Information in SEMI S23 for consideration on a future SEMI Standards Ballot.

“We’re working pretty hard as part of a SEMI standards committee – to get standardized signaling for that sort of information – so that all pump and abatement suppliers can get access to signals that allow them to do these energy savings,” Czerniak said.

Czerniak said this will work best in a new facility, once the tools have the ability to communicate directly with the pumps and abatement systems. In a retrofit scenario, it can be a challenge to get those signals. “We’re talking about getting signals derived from loadlock pumps,” he said.

In practice, it may be impossible to actually turn off vacuum pumps completely, particularly those that are pumping byproducts that tend to condense inside the pump. “You generally don’t want to switch them off due to the risk of not being able to restart them. In those cases, what you do is typically reduce the frequency at which you spin them and save maybe 10-15% of the running power. To get them back to full speed and full operating temperature isn’t such a long period of time,” Czerniak said.

On the other hand, with gas abatement systems, particularly those that burn fuel (i.e., natural gas) to destroy the byproducts, it’s possible to shut them to near zero. “In our case, we usually just leave them running on a pilot flame. They come back on line in tens of seconds, and you save about 90% of your fuel gas. There are very significant savings,” Czerniak said. “At the same time, you also save on your CO2 footprint. It gets to be quite an important factor when people do CO2 audits of their manufacturing process so they can put green stickers on their end products.”

This has been the focus of one of the working groups in the European EEM450PR project, which is focused on 450mm tool developed (similar work is underway at the G450C Consortium in Albany).

In his talk on Tuesday, Czerniak described those models that were constructed as part of the EEM450PR project to simulate the impact of green modes, at various levels of wafer inactivity, initially for 300mm, and then extended for a hypothetical 450mm fab. It was also noted that additional savings would be possible in the facility, e.g. reduced process cooling water when the pump and abatement thermal load is reduced. The model was then validated by looking at data from a HVM 300mm fab, simulating the effect of green modes (without actually implementing them), and also live green mode implementation on pumps and abatement at imec’s R&D lab in Europe.

A live demonstration was also conducted in the G450C Albany fab on some installed 450mm toolsets, as part of the complementary and collaborative engagement between the regions on the 450mm topic, in order to validate the assumptions for future 450mm fabs.

CEA-Leti and EV Group launched a new program in nano-imprint lithography (NIL) called INSPIRE to demonstrate the benefits of the nano-patterning technology and spread its use for applications beyond semiconductors.

Leti and EVG Launch INSPIRE Figure 2

In addition to creating an industrial partnership to develop NIL process solutions, the INSPIRE program is designed to demonstrate the technology’s cost-of-ownership benefits for a wide range of application domains, such as photonics, plasmonics, lighting, photovoltaics, wafer-level optics and bio-technology.

Leti and EVG will jointly support the development of new applications from the feasibility-study stage to supporting the first manufacturing steps on EVG platforms and transferring integrated process solutions to their industrial partners, thus significantly lowering the entry barrier for adoption of NIL for manufacturing novel products.

In its effort to support high-volume manufacturing applications, EVG recently launched the HERCULES NIL equipment platform, and the INSPIRE program’s activities will complement the company’s efforts within the framework of its NILPhotonics competence center that was launched in December 2014.

“EVG is excited about the value that the partnership with Leti in the INSPIRE program will provide to industry,” said Markus Wimplinger, corporate technology development and IP director at EV Group. “After more than a decade of research and development activities, EVG has propelled NIL technology to a level of maturity that enables significant advantages for certain applications compared to traditional optical lithography.”

After launching its NIL technology-development program more than 10 years ago, Leti oriented the use of this technology mainly for photonics applications. In early 2014, the program was integrated in the Silicon Technologies Division to establish a NIL collaborative program.

“Leti and EVG have a long history of collaborating on ways to bring new technologies to market at reasonable costs for the benefits of our customers,” said Laurent Pain, patterning program manager in Leti’s Silicon Technologies Division. “Through INSPIRE, we will develop new ways for them to use this flexible, powerful nano-patterning technology to create new products for a wide range of applications.”

SEMI today announced that Mark Durcan, CEO and a member of the Board of Directors of Micron Technology, is the recipient of its 2015 SEMI Outstanding EHS Achievement Award – Inspired by Akira Inoue. Durcan accepted his award for leadership at the Global Care Environmental, Health, and Safety (EHS) Lunch today at SEMICON West 2015 in San Francisco, Calif.

“SEMI is pleased to recognize Mark Durcan’s leadership in the global semiconductor industry,” said Denny McGuirk, president and CEO of SEMI.  “Micron has achieved significant corporate citizenship goals, and Mark has ensured their execution through leadership and strong governance practices. Most importantly, his focus and advocacy of sustainable manufacturing initiatives have resulted in significant positive impact to the global semiconductor manufacturing industry.”

“At Micron, we believe being a leader in memory involves conducting operations that lead our industry in environmental health and safety,” said Micron CEO Mark Durcan. “Micron’s vision is to create a work environment, business practices and memory products that contribute to the sustainable use of our planet’s resources. Memory makes megatrends like Big Data, mobility and the Internet of Things possible. We enable these trends with innovative memory technology that is produced with a commitment to global sustainability.”

Durcan’s leadership in EHS is particularly significant to the semiconductor industry given Micron’s global manufacturing facilities in the U.S., China, Japan, Malaysia, Singapore, and Taiwan. His leadership extends beyond Micron’s facilities, influencing the broader semiconductor industry from his position as Board member of the Semiconductor Industry Association (SIA) in the U.S. and as a U.S. delegate at the World Semiconductor Council (WSC). Durcan collaborated with his SIA colleagues and members companies to pursue aggressive EHS objectives, even enlisting Micron’s staff to help lead SIA’s global EHS advocacy efforts.

Durcan’s leadership impact at Micron can be measured in four crucial areas that are in support of SEMI Award criteria:

  • Occupational Safety and Health: Micron launched a global occupational health and safety system, first receiving OHSAS 18001 certification in 2004 for all of its global facilities and further deploying those systems to acquired facilities including Elpida in 2014. In addition, Micron’s Singapore site received the Workplace Safety and Health Performance Award from the Singapore Workplace Safety and Health (WSH) Council in 2012. At the Taichung, Taiwan site, the Micron EHS Team shared best practices for reducing occupational injuries and was recognized in 2012 by the local authorities at the Central Taiwan Science Park (CTSP).
  • Environmental Management:  Micron implemented an environmental management system at its sole Boise, Idaho site in 1997 becoming one of the first companies in the U.S. to attain certification under ISO 14001.  Micron’s commitment was carried forward as a global system by deploying global ISO 14001 expectations for all of its worldwide facilities. At Micron’s headquarters in Boise, the City of Boise recognized Micron in 2011 for the best green commercial building project to reduce energy utilization.  In 2014, the headquarters location conserved 730 million gallons of water, recycled 104,761 pounds of integrated circuit trays and 1.4 million pounds of paper, plastic, cardboard and wood, and saved 10 million kWh of energy due to chilled water economizer and 7.5 million kWh due to energy efficiency.
  • Water Conservation: Micron’s reclamation system is a model for water-use habits in the semiconductor industry. Examples of water reclamation at Micron include the site’s supply for fire suppression system back-up, landscape irrigation water, cooling towers, boiler water make-up, and tool cooling.
  • Pollution Prevention: Micron is committed to reducing, reusing or recycling chemicals used in its manufacturing process. The company has achieved a 50 percent reduction in photolithography Spinfil chemical use, a reduction in hazardous waste, and an $800,000 reduction in annual material spend savings. Micron also developed a waste collection system that recovers chemicals used in the fabrication process. In turn, Micron ships used chemicals for reprocessing into other products such as a cleaning compound.

The “Outstanding EHS Achievement Award — Inspired by Akira Inoue” is sponsored by SEMI (www.semi.org). The award is named after the late Akira Inoue, past president of Tokyo Electron Limited and a strong advocate of EHS. Inoue also served on the SEMI Board of Directors. The award recognizes individuals in industry and academia who have made significant contributions by exercising leadership or demonstrating innovation in the development of processes, products or materials that reduce EHS impacts during semiconductor manufacturing. Past Award recipients include: Tzu-Yin Chiu (CEO, SMIC), Ajit Manocha (CEO, GLOBALFOUNDRIES), Dr. Morris Chang (chairman and CEO, TSMC), Dr. Jong-Kap Kim (chairman and CEO, Hynix Semiconductor), Atsutoshi Nishida (president and CEO, Toshiba), and other prominent industry leaders.

SEMI today announced that Stephen S. Schwartz, CEO of Brooks Automation, and Toshikazu Umatate, senior vice president and general manager of the Semiconductor Lithography Business at Nikon Corporation, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Four current board members were re-elected for a two-year term: Bertrand Loy, president and CEO of Entegris; Dave Miller, president of DuPont Electronics & Communications; Kyu Dong Sung, CEO of EO Technics; and Xinchao Wang, chairman and CEO of JCET.

Additionally, the SEMI Executive Committee confirmed Yong Han Lee, chairman of Wonik as SEMI Executive Committee chairman, and Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd. as SEMI vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 15, during SEMICON West 2015 in San Francisco, California.

“These two distinguished industry leaders will be tremendous assets to the SEMI Board of Directors,” said Denny McGuirk, president and CEO of SEMI. “We also appreciate the continued service of those re-elected to the Board their counsel and wisdom is valued as SEMI responds to new industry challenges, inflections, and opportunities.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

By Jeff Dorsch, Contributing Editor

The used and refurbished semiconductor equipment market can be a hazardous business for buyers. The watchword always is: Caveat emptor – let the buyer beware.

There are many reputable companies in the used equipment business, of course. Intel, Texas Instruments, and other big chipmakers put their surplus production equipment on the market, typically on an “as-is” basis.

Some used-equipment vendors and brokers also offer their wares as they are, without any guarantees or warranties. The chip-making gear may be faulty; it could lack a software license from the original equipment manufacturer, which has occasionally been a legal issue.

Many purveyors of used equipment are also involved in refurbishing pre-owned equipment, and some even develop their own equipment, given their experience in buying, maintaining, updating, and selling equipment.

“It’s an interesting year. The industry has been very busy,” says Byron Exarcos, CEO of ClassOne Equipment, which is based in Atlanta and has operations around the world in key markets. “There definitely is a lack of supply, versus demand. It has driven pricing up.

”It’s become very difficult to find equipment, especially 200-millimeter equipment,” he adds. “There’s a very tight supply and high demand, which invariably increases prices.”

Dave Pawlak, ClassOne’s vice president of purchasing, says the supply-and-demand situation has lately improved. The market is seeing “a slowdown” after a torrid period of activity, he adds. “Tools are becoming available. We’re starting to see a turn. The prices are coming down,” Pawlak observes.

Driving the demand for 200mm tools are manufacturers of microelectromechanical system devices and sensors, according to Exarcos. Light-emitting diodes are typically manufactured on 150mm wafer fabrication lines.

“They may have been using 3-inch, 4-inch tools,” he says of these manufacturers. “Eight-inch tools – they’re the leading edge.”

While Intel and Samsung Electronics are fabricating their most advanced chips on 300mm fab lines, those integrated device manufacturers (both of who are in the foundry business) are “keeping their 200mm tools,” Exarcos says. “They’re getting busy with them.”

The ClassOne Group now has an operation in Kalispell, Montana, which was the home of Semitool, an equipment manufacturer acquired in 2009 by Applied Materials. ClassOne Technology, founded in 2013, makes new wet-chemical process tools, including electroplating systems, for companies making LEDs, MEMS, photonics, power devices, radio-frequency devices, and other components. These companies may turn out 5,000 to 10,000 wafer starts per month, according to Exarcos, not on the level of volume production for the big IDMs.

In February, ClassOne Technology announced the acquisition of two product lines, a spin-rinse-dryer and a spray solvent tool, from Microprocess Technologies. Those products became the company’s Trident SRD and Trident SST lines.

Exarcos concludes, “It is critical to work with the right company.”

The related field of spare parts for semiconductor equipment was rocked in the 1990s by the case of Semiconductor Spares, Inc., which conspired with insiders at Applied Materials, Lam Research, and Varian Associates (the semiconductor equipment business of which was bought by Applied in 2011) to steal drawings of parts, enabling SSI to undercut those vendors on pricing. David W. Biehl, the company’s owner and president, pleaded guilty to a variety of charges in the case and was sentenced in U.S. District Court to 31 months in prison and ordered to pay $100,000 in restitution.

Once more – Caveat emptor.

SEMI projects three consecutive years of growth in worldwide semiconductor equipment sales according to the mid-year edition of the SEMI Capital Equipment Forecast, released today at the SEMICON West exposition. SEMI forecasts that the total semiconductor equipment market will grow 7 percent in 2015 (reaching $40.2 billion) and expand another 4 percent in 2016 to reach $41.8 billion.

The following results are given in terms of market size in billions of U.S. dollars and percentage growth over the prior year:

SEMI® 2015 Mid-Year Equipment Forecast by Market Region

By Equipment Type

2014 Actual

2015 Forecast

year-over-year

(% Change)

2016 Forecast

year-over-year

(% Change)

Wafer Processing

29.26

32.13

9.8%

33.53

4.4%

Test

3.55

3.45

-2.8%

3.53

2.3%

Assembly & Packaging

3.06

2.80

-8.5%

2.84

1.4%

Other Front-End

1.63

1.77

8.6%

1.89

6.8%

Total (Equipment)

37.50

40.15

7.1%

41.79

4.1%

By Region

2014 Actual

2015 Forecast

year-over-year

(% Change)

2016 Forecast

year-over-year

(% Change)

China

4.37

4.66

6.6%

5.54

18.9%

Europe

2.38

2.71

13.9%

3.41

25.8%

Japan

4.18

4.73

13.2%

4.60

-2.7%

Korea

6.84

8.55

25.0%

9.23

7.9%

North America

8.16

6.45

-21.0%

6.70

3.9%

ROW

2.15

2.16

0.5%

2.31

6.9%

Taiwan

9.41

10.89

15.7%

10.00

-8.2%

Total (Regions)

37.50

40.15

7.1%

41.79

4.1%

Totals may not add due to rounding
Source: SEMI, July 2015; 
Equipment Market Data Subscription (EMDS)

Following strong growth of 18 percent in 2014, the equipment market is poised to continue to expand for the next two years. Key drivers for equipment spending are investments by memory and foundry fabs. Front-end wafer processing equipment is forecast to grow 10 percent in 2015 to $32.1 billion, up from $29.3 billion in 2014.  Test equipment, assembly and packaging equipment are forecast to contract this year, falling to $3.5 billion (-3 percent) and $2.8 billion (-9 percent), respectively.

“Memory and foundry device manufacturers are continuing to invest in leading-edge process technologies to enable mobility and interconnectivity,” said Denny McGuirk, president and CEO of SEMI. “We expect capital spending to post growth throughout the remainder of 2015 and into 2016.”

Taiwan is forecast to continue as the world’s largest spender with $10.9 billion estimated for 2015 and $10.0 billion for 2016. In 2015, South Korea is second at $8.6 billion, followed by North America at $6.5 billion. For 2016, these three regions are expected to maintain their relative rankings.

In 2015, year-over-year increases are expected to be largest for South Korea (25 percent), Taiwan (16 percent), Europe (14 percent), and Japan (13 percent). Projected year-over-year percentage increases for 2016 are largest for Europe (26 percent increase), China (19 percent), South Korea (8 percent), and Rest of World (7 percent).

TUESDAY, JULY 14, 2015

9:00 am – 10:00 am
OPENING KEYNOTE PANEL: Scaling the Walls of Sub-14nm Manufacturing
Panel moderator: Jo de Boeck, Senior Vice President, Corporate Technology, imec
Keynote Stage, Room 135, North Hall E

10:00 am – 12:35 pm
STS Session: Semiconductor Manufacturing: Current Challenges and Future Opportunities for the Semiconductor Supply Chain
SESSION PARTNER: SEMATECH
Moscone North, Hall E, Room 131

10:30 am – 12:30 pm
What’s Next for MEMS?
TechXPOT South, South Hall

11:10 am – 12:45 pm
SILICON INNOVATION FORUM: Start-Up Pitches
Moderator: Dr. Pradeep Haldar, Vice President of Entrepreneurship, Innovation and Clean Energy Programs at SUNY Polytechnic Institute, Interim Dean of SUNY Poly’s College of Nanoscale Engineering and Technology Innovation, Chief Operating and Technical Officer of the U.S. Photovoltaic Manufacturing Consortium (USPVMC) in partnership with SEMATECH

1:30 pm – 3:30 pm
MATERIALS SESSION: Contamination Control in the Sub-20nm Era
Hosted by SEMI CGMG Committee
TechXPOT South, South Hall

2:00 pm – 4:30 pm
STS Session: Packaging: “Digital Health and Semiconductor Technology”
SESSION PARTNER: CPMT
Moscone North, Hall E, Room 133

semicon west

By Pete Singer, Editor-in-Chief

Major inflection points at logic, memory, foundry and display customers are creating a great future for Applied Materials, said president and CEO Gary Dickerson, speaking at an analyst meeting on Monday.

In opening remarks, Dickerson chose not to mention the recently failed merger between Applied Materials and TEL. Instead, he described how key inflection points are being enabled by new materials technologies. “Those inflections are enabled by materials innovation. New structures and new materials in semiconductors and displays create great, great opportunities for Applied,” he said.

He also pointed to new product launches that target these opportunities. This week, Applied Materials launched a new ALD system, as well as a new etch system. Both systems are based on completely new platforms.

Dickerson said the new transitions or inflection points are “the biggest that we’ve seen in decades.” He also said the rate of change is faster than he’s ever seen. “When you look at what they need to do for higher performance, longer battery life and better visual experience at the right cost, the technologies to enable those major inflections are bigger than we have ever seen in this industry,” he said.

“When you think about mobility or automotive or IoT or wearables, the pace of the technology changes are very, very fast,” he said. “You either hit these windows or you’re out for those products,” he added. He said hitting these narrow windows was “life or death for our customers.”

About a year ago, Applied Materials formed a Patterning Group, led by Prabu Raja, group vice president. The group handles etch, CVD, selective material removal and ALD. “The growth there has been tremendous,” Dickerson said.

Dickerson said they have moved $400 million of investment in the company into these opportunities and into new products.

This week, Applied Materials launched the Centris Sym3 Etch system, featuring an entirely new chamber for atomic-level precision manufacturing. The Centris Sym3 etch chamber employs a unique True Symmetry technology with multiple tuning controls for optimizing global process uniformity to the atomic level. Key to the design is a focus on controlling and removing etch byproducts, which are increasingly hampering within-chip patterning uniformity.

The company also launched a new Olympia atomic layer deposition (ALD) system that features a flexible and rapid process sequence vital for controlling the more complex chemistries needed to develop the next generation of ALD films. Further, the modular design creates complete separation of chemistries, eliminating the pump/purge steps of conventional ALD technologies for improved productivity.