Tag Archives: letter-semi-business

By Jeff Dorsch, Contributing Editor

Presentations at the SEMI/Gartner Market Symposium on Monday afternoon could be summed up in two words: Uncertainties Ahead.

That was part of the title for the presentation by Bob Johnson, a research vice president at Gartner. Currency volatility, with multiple currencies contending with a strong dollar, is expected to continue in the short term, he said.

“This affects everyone in the electronics supply chain,” Johnson said.

Jim Walker, another Gartner research vice president, earlier said China is in for a “hard landing,” after a spectacular run-up in equities traded on the Shanghai Stock Exchange in the past year, followed by a crash last month.

“The U.S. economy is the bright spot,” he said. “Businesses and households are spending more.” Those U.S. expenditures are cautious, he added.

The keynote address was by Ian Ferguson, vice president of segment marketing at ARM Holdings. “The semiconductor industry is consolidating,” he said. “A lot’s going on. The pace is accelerating.”

Regarding the Internet of Things, Ferguson said, “Just because it’s connected doesn’t make it a good idea.” He divided the IoT market into consumer, commercial, and industrial segments.

For the near future of the IoT, he predicted there will be “a significant security scare,” which will bring on more security precautions. Second, “people will stop discussing things as smart devices,” Ferguson said. Instead of smart refrigerators and smart televisions, there will be just refrigerators and televisions, he noted. Finally, “new connected things will interface to multiple subsystems,” he concluded.

Johnson said solid-state drives will be a significant driver of growth, especially boosting NAND flash memory devices.

The DRAM market is set for an oversupply situation with the additional capacity coming on line, which will be followed by price declines, according to Johnson.

In contrast, NAND flash is “the bright spot” in the semiconductor industry, “due to SSDs gaining traction,” Johnson said.

SEMI today announced the cornerstone safety Standard S2 (Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment) will be updated this month. SEMI S2 is one of the most widely-used safety-related documents in the industry. SEMI S2 provides a set of performance-based environmental, health, and safety (EHS) guidelines for semiconductor manufacturing equipment.

EHS--shutterstock_264365318

SEMI International Standards are consensus-driven industry-specific guidelines and specifications for the semiconductor supply chain. Use of standards decreases manufacturing costs and increases productivity and industry efficiency by reducing or eliminating duplication of efforts. Virtually every major semiconductor company globally includes equipment safety-related requirements in their purchasing specification, typically SEMI S2.

Used in the industry for over 25 years, the SEMI S2 Guideline is the result of significant efforts by the volunteer experts in SEMI’s International Standards Program. SEMI S2 is periodically updated and revised by a Standards Task Force consisting of device makers, equipment manufacturers, material makers, and other engaged communities (academia, consortia, associations, etc.). SEMI S2 covers 22 specific EHS categories, including regulatory requirements; electrical, mechanical, fire, chemical, radiation, noise, and ergonomics hazards; emergency shutdown, ventilation, and exhaust specifications; hazard warnings and more.

SEMI S2 is on a targeted release schedule with revisions effective once every three years, not immediately upon approval. The upcoming release in July 2015 will contain all changes approved since July 2012; the next milestone release of SEMI S2 is scheduled for July 2018.

This SEMI S2 release will include the following updates, effective July 2015:

  • Section 3.3 Revision (Limitations Section)
  • Addition of a new Related Information section (Additional Guidance for Safety Functions)
  • Revision to Optical Radiation Criteria
  • Revisions related to Fire Detection Manual Activation and Fire Suppression Manual Activation
  • Revision to Chemical Exposure Criteria Reporting and Fire Detection/Suppression Annunciators

Updates to SEMI S8 (Safety Guidelines for Ergonomics Engineering of Semiconductor Manufacturing Equipment)and SEMI S22 (Safety Guideline for the Electrical Design of Semiconductor Manufacturing Equipment) are also on the same three-year targeted release schedule. SEMI S8 will reflect changes to terminology for critical controls as well as several updates to the Supplier Ergonomic Success Criteria (SESC) Checklist including: “Actual/Conforms?” Column Modifications; Ball Handle Minimum Diameter; Hand Control Location Applications; Hand Control Location Pictogram Addition. SEMI S22 will include revisions to facilities electrical connection, protection against risk of electrical fire, and testing.

For more information on SEMI International Standards, please visit www.semi.org/en/Standards. To purchase SEMI S2 updates, SEMI (www.semi.org) offers SEMIViews ─ an annual subscription-based product for online access to all SEMI Standards. SEMIViews includes Standards in English (the official language) as well as selected Standards in Japanese, Traditional Chinese, and Korean.

SEMI North American Standards meetings will be held at SEMICON West 2015, starting on July 13. For more information on the standards meetings in San Francisco, visit www.semi.org/node/55801. In addition, many other upcoming SEMI Standards meetings are scheduled; please visit www.semi.org/en/Standards/CalendarEvents.

Each year at SEMICON West, the largest microelectronics exposition in North America, the “Best of West” awards are presented by Solid State Technology and SEMI. The award was established to recognize new products moving the industry forward with technological developments in the microelectronics supply chain.

The Best of West 2015 Finalists will be displaying their tools on the show floor at Moscone Center from July 14-16:

  • ClassOne Technology: Solstice S4 — Solstice S4 is the first automated plating tool that delivers advanced performance on smaller substrates at affordable prices. Described as “advanced plating for the rest of us,” Solstice is designed specifically for the smaller-substrate users in emerging technologies such as MEMs, LEDs, Power Devices, RF Communications, Interposers, Photonics and Microfluidics. Solstice sets new standards for plating performance and affordability. South Hall, Booth #2521.
  • National Instruments: NI Semiconductor Test Systems — NI’s Semiconductor Test Systems (STS) feature PXI modular instrumentation and open system design software for semiconductor test environments. Unlike traditional ATE systems that incur costs as old generations of equipment become obsolete, NI STS’ open architecture allows engineers to retain their investments and easily scale. Its compact design eliminates floor space, power, and maintenance costs, and is ideal for characterization and production to decrease time to market. North Hall, Booth #5472.
  • Nordson ASYMTEK: Programmable Tilt + Rotate 5-Axis Fluid Dispenser — With requirements for precision, accuracy, and speed more stringent than ever and pushing the limits of dispensing equipment capabilities, the new programmable Tilt + Rotate 5-Axis Fluid Dispenser solves these problems, achieving unparalleled accuracy and precision in X, Y, and Z axes for thin lines and small dots, to make high-volume manufacturing possible for today’s new products. North Hall, Booth #5743.

The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 15, 2015.

Solid State Technology and SEMI, today announced the recipient of the 2014 “Best of West” Award — Nikon Corporation — for its NSR-S630D Immersion Scanner. The award recognizes important product and technology developments in the microelectronics supply chain. The Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Nikon has clearly demonstrated leadership with ArF immersion tools, particularly in the area of 450mm. At SEMICON West, a collection of the first fully patterned 450mm wafers – using a Nikon immersion lithography tool — were on display at the newly merged SUNY CNSE/SUNYIT exhibit. The Nikon immersion scanner will join existing 450mm infrastructure at the Albany NanoTech Complex in April of 2015 in accordance with the project timeline. This critical milestone will enable G450C founding members and CNSE to perform 10nm and below, full wafer photolithography, while optimizing tool configuration and performance.

Award-winning NSR-S630D 300mm ArF immersion scanner

Award-winning NSR-S630D 300mm ArF immersion scanner

The Best of West award-winning NSR-S630D (300mm) ArF Immersion Scanner employs the well-known Streamlign platform, incorporating further developments in stage, optics, and autofocus technology to deliver unprecedented mix-and-match overlay and focus control with sustained stability to enable the 10/7nm node.

The semiconductor industry is moving to development and high volume manufacturing of sub-10nm generation process devices. Budgets are even tighter at these advanced nodes, making enhanced stability vital. The NSR-S630D leverages established immersion technology, while incorporating key innovations to deliver mix-and-match overlay (MMO) capabilities below 2.5 nm and throughput greater than 250 wafers per hour, in addition to critical overlay and focus with “optimal stability.”

The NSR-S630D builds upon the Streamlign platform, incorporating further technology, optics, and autofocus technology to deliver unprecedented performance with “sustained stability” to enable the 10/7nm node. Additionally, the S630D provides world-class throughput ≥ 250 WPH, and is compatible with advanced software solutions that ensure peak manufacturing performance. Significant technical, infrastructure, and business-related issues continue for EUVL, with unclear cost benefits. A 300mm process step and cost comparison for EUVL double patterning (DP) was 2x higher than ArF immersion multiple patterning, and EUV DP results were even less favorable under 450 mm conditions. From the overall cost perspective, new technologies are not always the best approach, and based on 10 years of success, it is believed that 193i immersion will remain the low cost solution moving forward.

The NSR-S630D utilizes newly designed optics that deliver multiple levels of active control, while Multipoint High Speed phase measurement interferometry enables adjustment of the lens at intervals to reduce aberrations. These enhanced tuning capabilities enable extremely low wavefront rms. Beyond imaging, overlay and focus control are the critical performance factors for the 10/7nm node.

Single nanometer distortion values have been achieved, which is a major factor in improving overlay/mix-and-match capabilities. In addition, the new NSR-S630D reticle stage uses an encoder servo system to increase accuracy while the wafer stage delivers improved temperature control, coupled with structural and water management innovations to enhance stability. The S630D has demonstrated single machine overlay (SMO) Avg.+3σ below 1.4nm across the lot, with across lot S622D/S630D mix-and-match overlay (MMO) below 2.5nm. Further, the S630D autofocus system employs a narrower sensor pitch and improved edge mapping for better focus uniformity, and minimizes sensor fluctuations and process sensitivities. Together these factors optimize yield and increase edge dies per wafer.

Autofocus performance was verified with uniformity data (3σ) below 7nm (including edge shots) and 5.9 nm for full field shots alone. Intrinsic CD uniformity results below 0.69 nm were also demonstrated for 41nm lines on a 90nm pitch.

At the most advanced nodes, tool stability and process robustness become increasingly critical. Additional calibrations help with this, but they must not compromise productivity. Therefore, long-term inherent tool stability and process robustness must be maintained. The S630D has demonstrated five lot SMO data below 1.7nm (Avg. + 3σ) across a ten-day period, and SMO performance (Avg. + 3σ) below 1.4nm across the lot for both hydrophobic and hydrophilic processes. Additionally, a two week focus stability range of only 5.3 nm max/min was achieved.

Nikon provides a number of “Masters” – automated software solutions that ensure the scanner is performing at its best. These include LNS (lens) Master, OPE Master, CDU Master, and OVL (overlay) Master. LNS Master enables reticle-specific thermal compensation on the scanner. OPE Master uses customer designs and scanner adjustments to provide illumination condition matching for aligning performance across a fleet of scanners and ensuring that one OPC solution works on all of them. CDU Master provides optimization capabilities that enable the scanner to correct for other process window detractors. Because overlay matching plays a central role in multiple patterning applications, OVL Master enables automated grid and distortion matching, as well as automated reticle expansion correction to maximize yield. The NSR-S630D works in tandem with the Masters software to deliver optimized scanner exposure parameters that enhance performance on product wafers. In addition to maximized yield and manufacturing flexibility, enhanced productivity is imperative in making these advanced multiple patterning processes cost effective for chipmakers, and the S630D delivers world-class throughput ≥ 250 wafers per hour (WPH).

Receiving the Best of West award: Holly Magoon, senior marketing manager, and Butch Berry, service order administration manager, Nikon Corporation.

Receiving the Best of West award: Holly Magoon, senior marketing manager, and Butch Berry, service order administration manager, Nikon Corporation.

By Jeff Dorsch

The worldwide semiconductor capital equipment market is forecast to increase 20.8 percent this year to $38.44 billion, compared with 2013’s $31.82 billion, and another 10.8 percent in 2015 to $42.6 billion, according to Semiconductor Equipment and Materials International.

Also on Monday, the Semiconductor Industry Association reported that global sales of semiconductors were $26.86 billion in May, an 8.8 percent increase from a year earlier and a 2 percent improvement from April of this year.

Jonathan Davis, SEMI’s global vice president of advocacy, said Monday that the semiconductor industry is seen growing 5 percent to 10 percent in 2014, and noted that all world regions posted growth in sales during May, a statistical factor not recorded since August 2010.

Discussing expenditures on capital equipment, Davis said, “The nature of the spending is changing.” The number of new wafer fabs has dwindled in recent years, and more spending is directed these days to upgrading existing fabs.

2015 promises to be the biggest year for semiconductor equipment spending since 2000, Davis said. While the equipment market is growing more than 20 percent this year, the semiconductor materials market will see more modest growth in 2014, at 6 percent, he added.

Karen Savala, the president of SEMI Americas, reviewed economic and technology trends in the equipment and materials business during Monday’s SEMI press conference. The industry has gone through “one of the largest consolidation periods in our history,” including the pending blockbuster merger between Applied Materials and Tokyo Electron Ltd. (TEL), she noted.

The longstanding economics of Moore’s Law is being challenged, she added. The Internet of Things is a tremendous opportunity for the chip-making business, yet it doesn’t involve leading-edge technology, Savala said. “Traditional node scaling seems to be slowing,” she observed. Scaling is apparently decelerating below the 32-nanometer process node, according to Savala, but it may be advanced with the introduction of new materials, new substrates, and 2.5D/3D packaging.

“The ecosystem is changing,” Savala said.

SEMI now forecasts that wafer processing equipment will grow 22.7 percent in 2014 to $31.12 billion, from $25.36 billion in 2013, and advance 11.9 percent more in 2015 to $34.81 billion. Test equipment is expected to see a 12.5 percent increase this year to $3.06 billion and pick up by 1.6 percent next year to $3.11 billion. Assembly and packaging equipment is forecast to reach $2.52 billion in 2014, an 8.6 percent improvement from last year, and growing 1.2% in 2015 to $2.55 billion. Other equipment categories will be up 22.5 percent this year to $1.74 billion and up 21.8 percent next year to $2.12 billion.

All global regions except one, the rest of the world, are forecast to post increased sales in 2014, according to SEMI. Taiwan will remain the largest region with $11.57 billion in equipment sales this year, up 11.57 percent from 2013, while higher growth rates will be seen in China, North America, South Korea, Japan, and Europe. All regions are expected to show growth in 2015, ranging from 1.6 percent in China up to 47.8 percent in Europe.

SEMI 2014 mid-year equipment forecast.

SEMI 2014 mid-year equipment forecast.

By Pete Singer, Editorial Director

Semiconductor devices are manufactured using the most advanced processes and materials known to man and require levels of purity that measures contamination in parts per quadrillion (ppq). Achieving these precise levels of purity requires filtration solutions that can prevent nanolevel particles, bubbles and ions from reaching the semiconductor substrate during manufacturing. Polymeric membranes are the core material which is used to capture these contaminants. These solutions help advanced high-tech manufacturers improve productivity, performance and technology to develop next-generation semiconductors and electronics.

Entegris is enabling those technologies by solving the most difficult purification, process control and material protection challenges in advanced semiconductor and electronics manufacturing.

Earlier this year, Entegris, completed its acquisition of ATMI, Inc.  The combined company, which employs 3,500 people worldwide, has pro forma 2013 revenues of more than $1 billion.

B_Loy PicBertrand Loy, President and Chief Executive Officer of Entegris said, “As our customers continue to develop and ramp new, ever more complex and advanced manufacturing processes, we are positioned to leverage our innovative energy and unique strengths to bring a broader portfolio of yield-enhancing solutions to market faster than before.”

Loy said the company is almost doubling the amount committed to R&D, saying it was necessary to obtain the “efficiency and scale to afford the wide infrastructure” required by today’s semiconductor industry. He said the Entegris/ATMI combined company was focused on more advanced chemistries and more advanced cleanliness.

Entegris recently inaugurated its new i2M Center for Advanced Materials Science (“The i2M Center”) in Bedford, Massachusetts.  The goal of the facility is to develop breakthrough filtration and specialty coatings technologies.

Representing an investment of more than $55 million, The i2M Center is one of the world’s leading R&D and manufacturing centers for filtration media, metal membranes, electrostatic clamps (e-Chucks) and proprietary advanced, low-temperature coatings. Entegris’ technologies achieve the nano-level purity required in advanced manufacturing environments by controlling particles and other contaminants.

Loy said: “i2M stands for ‘ideas to market’ and we intend for this facility to be our flagship innovation center for solving very difficult materials science challenges. Our customers run extremely complex manufacturing processes and the i2M Center reflects our commitment to developing truly innovative solutions to support their process advancements.” Approximately 100 professionals will work at i2M Center, many of whom hold doctorates and advanced degrees in material/separation science and chemical engineering.

The Entegris i2M Center for Advanced Materials Science

The Entegris i2M Center for Advanced Materials Science

SEMATECH and the newly merged SUNY College of Nanoscale Science and Engineering (CNSE) / SUNY Institute of Technology (SUNYIT) today jointly announce the creation of the Chemical Mechanical Planarization (CMP) Center, based at the Albany Nanotech Complex. The Center aims to accelerate the development of next generation CMP technology, and to drive improvement in the yield and cost of ownership of CMP processes.

“In support of Governor Andrew Cuomo’s commitment to New York’s global leadership in developing next generation technologies, we are excited to partner with SEMATECH to develop technology for the manufacturing of future nanoelectronics devices,” said Christopher Borst, Associate Vice President for G450C Technical Operations and Associate Professor of Nanoengineering at the newly merged CNSE/SUNYIT. “Through access to the newly merged CNSE/SUNYIT’s advanced fabrication facilities, we will enable a center of excellence with world-class capabilities for development of leading-edge process solutions for future generation technologies.”

“The CMP Center is an important part of our strategy to provide our members with the critical capabilities needed to accelerate process maturity for end users,” said Edward Barth Director of Growth Initiatives at SEMATECH. “In addition to SEMATECH’s network of consortium members, the newly merged CNSE/SUNYIT’s leadership in providing state-of-the-art process and metrology toolsets will enable the development of new materials and CMP processes in further scaling of IC devices.”

As semiconductor dimensions are scaled, advances in test structures and process flows are critical for accelerating process development to support industry needs.  The new planarization center, a vital component of the newly merged CNSE/SUNYIT and SEMATECH’s process technology efforts, will serve as a technology test-bed that will enable participating companies to develop, demonstrate, integrate and qualify advanced CMP technologies for the semiconductor industry.

“The CMP community have been asking for this and the newly merged CNSE/SUNYIT and SEMATECH have responded loud and clear,” said Frank Tolic, Associate Vice President for Business, Wafer Processing of the newly merged CNSE/SUNYIT.  “Leveraging our combined strengths creates the next generation of cost effective test vehicles, test wafers, and industry know-how that will lead the CMP community successfully through the next technology generations.”

“This collaborative venture incorporates the newly merged CNSE/SUNYIT’s and SEMATECH’s joint technical expertise and industry vision, with CNSE/SUNYIT’s state-of-the-art equipment,” said Satyavolu Papa Rao, Director of Process Technology, SEMATECH. “The entire CMP ecosystem, including consumable suppliers, tool and metrology vendors, and end users such as IDMs, foundries and fabless companies, can partner to establish industry standard test structures and develop solutions to meet the needs of sub-20 nm technology nodes.”

The new CMP Center, along with other Centers being established, will create cross-center synergies to drive technical excellence and reduce the overall cost of ownership in the development of next-generation technology.

 Applied Materials, Inc. and Tokyo Electron Limited today unveiled the new name and logo of their combined company which will be used once the merger closes. Derived from the concept of eternal innovation for society, Eteris [pronounced: eh-TAIR-iss] embodies the spirit of what will drive the new company and speaks to what makes the combination unique.

“The new name for our combined company builds on the strong legacies of Applied Materials and Tokyo Electron, creating something even greater than the sum of the two,” said Tetsuro Higashi, chairman, president and CEO of Tokyo Electron. “At the time we announced our plans to merge, we said this was a bold step forward for our industry. The name Eteris demonstrates our commitment to a new and exciting future for our company to create and enable technology innovations that improve the way people live.”

“Eteris is innovative and forward-looking and our logo symbolizes expanding future opportunities driving a new era of innovation and growth,” said Gary Dickerson, president and CEO of Applied Materials. “With a new name, mission and vision, we are bringing our new company into focus so that we can move quickly, execute our combined strategy and begin to create value as soon as the merger closes.”

Eteris captures the company’s focus on innovations that will enable its customers and move the industry forward. Core to Eteris is the promise to leave a positive and lasting impact on the world. Paired with the name is a bold logo that celebrates Eteris’ role in realizing the incredible possibility of technology. At the heart of the mark, the bright green square symbolizes the energy of the new company, the power of its technology and the foundation of innovation it provides to enable customer success. From the green foundation, bright colors and new dimensions expand, representing the many innovations Eteris will make possible every day. The logo represents expanding future opportunities that drive new innovation and growth.

joint_photo_eteris

The unveiling of the new company’s name and logo are the latest milestones in the merger’s progress. Last month the stockholders of Applied Materials and Tokyo Electron declared strong support for the combination. Approximately 99% of the shares voting at the Applied Materials stockholder meeting and 95% of the shares voting at the Tokyo Electron stockholder meeting voted to adopt the proposed business combination. These results underscore the value the combination brings to stockholders.

The closing of the business combination remains subject to customary conditions set forth in the parties’ Business Combination Agreement, including review by regulators in various countries. Applied Materials and Tokyo Electron expect the transaction to close in the second half of 2014.

James C. Morgan, chairman emeritus, Applied Materials, Inc., today announced his commitment to match up to $500,000 in donations to the SEMI Foundation. The SEMI Foundation supports STEM education and promotes career awareness in the areas of semiconductor and high-tech manufacturing and technology. Morgan announced the “challenge grant” — to secure $1 million of funding support for workforce development — during the SEMI Press Conference at SEMICON West 2014, the largest microelectronics manufacturing event in North America.

Morgan committed to pledging up to $500,000 to the SEMI Foundation and invited others in the high-tech industry to join him in contributing in blocks of $50,000 or more. Over the next three months, Morgan will match every contribution of $50,000 or more.  A “success” party is planned in October at Ferrari Silicon Valley, hosted by Art Zafiropoulo, CEO of Ultratech.

“Today, we all have a role in supporting students’ success in their academic and career goals,” said James Morgan. “The SEMI Foundation has gotten thousands of young people excited about the importance of math, science and the opportunities in high-tech careers through its dynamic High Tech U program. It is time for the industry to take the High Tech U program to the next level and achieve even greater impact. I encourage you to commit qualifying contributions during the challenge period to the SEMI Foundation.”

Through High Tech U, the SEMI Foundation has conducted more than 170 programs for both students and teachers with a combined impact on more than 350,000 individuals.  High Tech U programs consist of a three-day “hands-on” science-based curricula and interactive professional skills development program. SEMI held 20 programs in 2013 in Europe, Japan, Korea and the United States.  The Foundation plans to expand the impact of the High Tech U franchise through enhanced program development, portal-based student engagement and tracking, industry employment information assistance and other improvements.

“Jim and Becky Morgan epitomize leadership and generosity through their tireless work to foster education,” said Denny McGuirk, president and CEO of SEMI.  “We commend his numerous contributions to SEMI, our industry, and the youth who will occupy high-tech careers in the future. We also encourage others to accept the challenge and to support the SEMI Foundation.”

Morgan has an extensive history in business and philanthropy. He is chairman emeritus of Applied Materials. He previously served as chairman of the board from 1987 to 2009, and as chief executive officer from 1977 to 2003. Morgan is the recipient of the 1996 National Medal of Technology, IEEE Robert N. Noyce Medal, and Silicon Valley Leadership Group’s “Spirit of Silicon Valley Lifetime Achievement Award.” Morgan was vice chairman of the President’s Export Council in 2003. He was appointed to the 2002 U.S.-Japan private Sector Government Commission. From 1996 to 1997, Morgan served on the Commission on U.S. Pacific Trade and Investment Policy. From 1988 to 1992, he served on the National Advisory Committee on Semiconductors.