Tag Archives: letter-wafer-4th

NanoFocus AG, the developer and manufacturer of optical 3D surface measuring technology, introduces the new measuring system µsprint hp-opc 3000 for the optical inspection of probe cards within the framework of the 26th Annual SW Test Workshop in San Diego from 5th – 8th June 2016.

nanofocusµsprint hp-opc 3000 enables an innovative and future-oriented process step in wafer production. The process is specially designed for the requirements of wafer test locations with a variety of different probe cards as well as large-volume throughput. A pilot system is already installed at a renowned manufacturer of semiconductor elements.

Probe cards are special test devices that are used for standard function tests of wafers at the end of the so-called front-end process. This means, they are used after the functional structures of the electronic elements on a wafer are fully manufactured. The µsprint hp-opc 3000 system is responsible for ensuring that the wafers are in sound condition after testing, for reducing yield losses as well as for minimizing the time and number of complex maintenance cycles the probe cards are subjected to regularly.

As wafers already completed the most important part of value creation with the manufacturing of the functional structures, damage during testing represents a significant economic loss. Furthermore, faulty probe cards can cause damage during wafer testing. Although such faulty probe cards can lead to a correct result of the functional test, they can cause unnoticed damage to a wafer rendering it unusable. On the one hand, such incidents represent an economic loss due to recall actions, on the other hand, a minimized quality perception of the delivered products by the customer. Beyond that, using the µsprint hp-opc 3000 can shorten and/or specify repair cycles more clearly. This provides an important contribution to the reduction in operative costs when using probe cards.

µsprint hp-opc 3000 is a process-capable capacity tool. It can be integrated into process control systems via a SECS/GEM communication interface. The tool complies with all necessary and common standards required at front-end wafer test locations.

Edwards announced the availability of two new vacuum pump product families at SEMICON China: the iXM Series for semiconductor etch and chemical vapor deposition (CVD) applications, and the iXL900R for fast pump down of large flat panel display (FPD) loadlock chambers.

“Edwards is committed to reducing carbon emissions and energy consumption. Both of these new product families help our customers reduce the environmental impact of their manufacturing operations, while also helping them to reduce costs,” states Paul Rawlings, Vice President Marketing, Semiconductor and DSL Business, Edwards.

Edwards iXL900r

The new iXM Series of dry pumps reduces environmental impact and customer costs for etch and CVD processes, allowing them to run their latest processes with the lowest energy consumption and at the lowest ambient noise level. The pumps are designed to deliver increased lifetime when used for highly corrosive etch processes. They also offer a significantly lower footprint compared to other pumps on the market.

The iXL900R is the fastest loadlock pump currently available in its class for FPD loadlock applications. Customers can significantly reduce their operating costs and installation times with this new pump, which is particularly well suited to the largest loadlock chambers used for plasma vapour deposition (PVD) applications. In addition, customers will need fewer pumps per loadlock chamber, which reduces installation time, systemisation costs, maintenance and utilities consumption.

“We are proud to offer our customers new solutions that help them reduce their energy use. By some estimates, as much as 40 percent of energy used in a semiconductor factory is consumed by vacuum pumps, so even a minor reduction in this area can have a significant effect on total energy consumption,” states Ma Zhen, Edwards’ China-based Applications Manager. “Our latest vacuum pumps offer improvements in overall energy efficiency and savings from a unique idle mode that reduces energy usage when full power is not required.”

Zhen adds, “Currently China’s government-sponsored incentives provide manufacturers with an opportunity to upgrade their capability and reduce energy consumption with minimal investment. One customer recently replaced 200 pumps under the program, achieving a total energy savings of 7,000 Kwh per day.”

For further information about Edwards’ products and services, please visit www.edwardsvacuum.com, or visit Edwards at SEMICON China, booth N1 1008.

Qioptiq, an Excelitas Technologies company introduces the LINOS Low-outgassing Faraday Isolators, the first of their kind. Developed for use in enclosed and high-power laser systems across the UV to green and NIR wavelengths, these Low-outgassing Isolator modules extend the service life and enhance the reliability of optical systems into which they are integrated.

faraday isolator

Faraday Isolators are used to protect lasers from damaging back-reflected light and to decouple seed lasers from amplifier stages. Furthermore, they are used to increase power stability, reduce optical noise and prevent laser damage. LINOS FI-405-3SC LO and FI-1030-3SC LO Low-outgassing Faraday Isolators use optically-contacted polarizers to create a high damage threshold while delivering excellent isolation of 33dB and a high transmittance. They are especially suitable for enclosed laser systems, vacuum systems, and high-power lasers in semiconductor processing environments.

These Low-outgassing Faraday Isolators typically emit 25 times less volatile organic compounds (VOCs) than conventionally produced Faraday Isolators. They feature a 3.5mm clear aperture and a patented magnet system which enables an exceptionally compact design footprint. They are available for 405nm and 1030nm, with custom wavelength designs available upon request.

“In the past, low-outgassing properties were almost exclusively needed for space and scientific, ultra-high vacuum applications,” said Ian Alcock, Vice President of Excelitas Optics & Laser Technology business unit. “Today, with the continual demand for ever higher laser powers, the challenge of minimizing contamination or damage of laser optics is becoming increasingly relevant for more and more applications. Our latest low-outgassing products have been specifically designed to address this challenge.”

New Low-outgassing Faraday Isolators are the latest additions to the field proven line of LINOS Faraday Isolators from Qioptiq, an Excelitas Technologies Company. For many years, LINOS Faraday Isolators have been meeting customers’ needs in numerous applications across industrial manufacturing, research & development and life sciences. Due to their superior optical performance and high mechanical stability, LINOS Faraday Isolators are chosen by professionals all over the world.

Entegris, Inc., a developer of yield-enhancing materials and solutions, today announced new post-chemical mechanical planarization (post-CMP) cleaning solutions for semiconductor manufacturing. The new PlanarClean AG family of products were designed for use in 10nm processes and below, and add to Entegris’ portfolio of post-CMP cleaning solutions.

“Entegris has been the industry leader in post-CMP cleaning for many years. Our PlanarClean family products have been widely used in wafer fabs around the world. To address greater complexity of wafer production at the leading-edge nodes due to the addition of many new materials, such as cobalt and tungsten, we carefully re-formulated our PlanarClean solution to provide superior cleaning without damaging advanced films or new materials,” said Cuong Tran, director of post-CMP cleans for Entegris. “PlanarClean AG meets the needs for advanced processes, while also conforming to new safety guidelines outlined by our customers.”

The CMP process in silicon wafer production consists of a mechanical polishing step which utilizes a chemical slurry formulation to remove unwanted conductive or dielectric materials from the surface of the integrated device, achieving a flat and smooth surface upon which additional layers of integrated circuitry are built. The post-CMP cleaning step removes nanoparticles to minimize potential wafer defects while maintaining the integrity of the layers of materials already in place.

Changes to the number and types of films and materials exposed during cleaning in advanced processes have highlighted a need for specifically formulated cleans. In addition, changes to the particles used in slurries have rendered many of the traditional post-CMP cleaners ineffective and inefficient for leading-edge technologies, specifically in Front-End-of-Line (FEOL) processes. These challenges are now pushing semiconductor manufacturers to consider formulated cleans over commodity cleans.

PlanarClean AG formulated solutions meet these needs by providing one-step, superior cleaning in advanced processes that include copper, cobalt and tungsten, while protecting the underlying thin films and materials. Its proprietary formulation offers increased performance through enhanced reliability and yield, low to zero corrosion and defects and increased queue time. In addition, PlanarClean AG provides a cost-of-ownership advantage by reducing the amount of chemistry required in the cleaning step, and meets the latest EHS safety requirements for fab chemistries. The products have been successfully evaluated in multiple leading-edge fabs and are currently available to all customers.

 

Pfeiffer Vacuum, a global supplier of vacuum technology, will be exhibiting at the SEMICON Korea Trade Fair in Seoul from January 27 to 29 and Semicon China in Shanghai from March 15 to 17. Visitors to the booth can discuss innovative vacuum solutions with experts from Pfeiffer Vacuum.

“We are pleased to present important new vacuum solutions at the trade fairs SEMICON Korea and SEMICON China. In an increasingly changing competitive environment, Pfeiffer Vacuum is ideally set up as a rock-solid company, acting in the global arena as a reliable, long-term allround provider of vacuum technology for customers all over the world,” said Eric Taberlet, President of the Business Unit, Semiconductor & Coating.

A4 series of dry pumps

The dry, multi-stage Roots pumps in the A4 series provide pumping speeds of 100 to 2,300 m3/h. These energy-efficient and reliable pumps are ideal for use in demanding processes in the semiconductor and coating industries. With corrosion resistant materials and a high gas throughput, this pump series is optimally suited for use in CVD processes, for example.

Turbopump HiPace 2800 IT

With the HiPace 2800 IT Pfeiffer Vacuum presents a turbopump especially dedicated for ion implantation applications. The sophisticated rotor design of the turbopump results in an optimized pumping speed for light gases. This ensures very good process adaption for ion implantation processes, were hydrogen is the most accumulating gas. With 2,750 l/s pumping speed for hydrogen the new HiPace 2800 IT is the best turbopump in its class.

The intelligent temperature management system prevents process condensation and deposition inside the pumping system. It allows setting the temperature individually to ideally support the process. The special coating of the rotor ensures robustness against all ion implantation process materials. Being based on a so-called hybrid bearing, a combination of ceramic ball bearings on the fore-vacuum side and permanently magnetic radial bearings on the high vacuum side, these HiPace turbopumps have a particularly robust bearing design. Together with the efficient coating, this forms the basis for the long life cycle and maximum up-time of the pumps.

Magnetically levitated turbopumps ATH 2804 M and ATH 3204 M

The ATH-M pumps offer a gas throughput of over 5,000 sccm of nitrogen for non- heated applications. They also allow a very high throughput with up to 1,500 sccm of argon and a pump operating temperature of 65 °C in corrosive applications. The pumps operate at high temperatures up to 85 °C for use in the most aggressive applications with by-product deposition sensitive processes. These pumps include integrated drive electronics to ensure a small footprint and easy plug-and-play installation. The total height of the DN 320 flange model is less than 400 mm. Due to the new electronics, the pumps can be started and stopped within 8 minutes. Active magnetically levitated bearings and automatic out-of-balance compensation enable wear-free and low vibration operation of the ATH-M pumps. They are maintenance- free and require no lubrication. Continuous rotor stability is assured for reliable performance. Low power consumption at nominal speed and very low cooling water consumption (1 l/min) also characterize the new ATH-M pumps.

SAMCO has developed and launched a new Atomic Layer Deposition (ALD) system focusing on gate oxide formation of Silicon Carbide (SiC) and Gallium Nitride (GaN) power devices, which are key for energy-saving devices or “green electronics.”

SAMCO AL-1

SAMCO is a global semiconductor equipment company that designs and manufactures dry etching systems, PECVD, and UV-Ozone and Plasma cleaning systems. The company’s success has been driven by delivering innovative processing solutions for wide band-gap semiconductor devices such as RF devices, LEDs, laser diodes and power devices.

SAMCO’s new ALD system, “AL-1”, deposits pinhole-free AlOx and SiO2 films, which are optimal for gate oxide of GaNMOSFET, GaNMOS-HFET and 4H-SiCMOSFET devices. The system features precise film thickness control at the atomic layer level (1.2Å per cycle at a deposition temperature of 350 °C). The high-quality deposited AlOx film (breakdown voltage of 7.5 MV/cm) also provides excellent step coverage (aspect ratio of 32:1, 1.25μm width, and 40 μm depth) with just 103 nm thick oxide films.

The AL-1 is capable of depositing uniform oxides on an 8-inch wafer or three 4-inch wafers and is suitable for R&D and pilot production.

To strengthen turn-key solutions for next-generation power device production, SAMCO signed a distributor agreement with Epiluvac, a Swedish manufacturer of SiC CVD systems, on December 1, 2015. The AL-1 continues SAMCO’s success in providing highly reliable and cost-effective process solutions for the SiC and GaN power device markets.

Portland, OR — November 4, 2015 — JEOL‘s new JSM-IT100 is the latest addition to its InTouchScope Series of Scanning Electron Microscopes. Representing 50 years of industry leadership with advances in SEM, the IT100 is a simple-to-use versatile, research-grade SEM with a compact ergonomic design.

JEOL JSM-IT100_20Featuring expanded EDS analysis capabilities and ports for multiple detectors, the InTouchScope is a versatile workhorse SEM that can be configured to meet individual lab requirements at an exceptional value. It offers high resolution imaging and a range of acceleration voltages at both high and low vacuum modes.

The IT100 is a remarkably intuitive, high throughput microscope designed to streamline workflow in any lab. Touchscreen operation, or traditional keyboard and mouse interface are at the operator’s fingertips. Fast data acquisition make imaging and analysis of samples a simple task.

With the IT100, it is simple to quickly obtain high quality images using both Secondary Electron and Backscatter Imaging. The embedded JEOL EDS system with silicon drift detector technology now includes Spectral Mapping, Multi-Point Analysis, Automatic Drift Compensation, Partial area, Line Scan, and Mapping Filter functions.

JEOL’s popular InTouchScope series includes the NeoScope benchtop SEM with selectable HV/LV and the JSM-IT300LV with advanced analytical capabilities and imaging of large, intact samples.

Entegris, Inc, a developer of yield-enhancing materials and solutions for highly advanced manufacturing environments, announced this week at the SEMICON Taiwan tradeshow the development of a platform of CMP filtration solutions using nano-melt-blown (NMB) filtration technology, as well as the expansion of its CMP research, analytical services and manufacturing capabilities in Taiwan.

entegris products

“CMP processes continue to grow in complexity in both the materials used and the need for greater planarity in each layer of today’s devices,” said Entegris Vice-President of the Liquid Microcontamination Control business unit, Clint Haris. “Entegris continues to invest in people, technology and facilities in Asia to introduce new solutions for the semiconductor market. As our customers produce integrated circuits with smaller feature sizes, our nano-fiber technology reduces the number of defect-causing contaminants from reaching the wafer.”

The Entegris filter platform using NMB media now includes the Planargard bulk, Solaris point-of-tool and Planarcap point-of-dispense families to provide contamination control solutions throughout the CMP process area. Developed and manufactured in Taiwan, the NMB media utilizes the increased porosity of the nano-fibers to reduce shear stress placed upon the slurry during transport and filtration operations. These innovations result in extended filter lifetime and greater removal of defect-causing contaminants.

DCG Systems today announces the release of the OptiFIB Taipan circuit edit solution for the most advanced integrated circuit (IC) nodes. The first OptiFIB Taipan system surpassed all benchmark specifications for 10nm edit capabilities at a leading microprocessor manufacturer, resulting in an additional order from the same customer. Orders have also been received from leading fabless and foundry customers looking to support their advanced node development efforts.

DCG-Systems-OptiFIB-Taipan-full-system-small

OptiFIB Taipan System

Circuit edit technology is primarily used by product engineers for design corrections during the IC manufacturing process. By using circuit edit instead of producing a new mask every time corrections are needed, organizations can reduce their product time-to-market cycle by four to six weeks each time a new mask production is avoided. In addition, circuit edit solutions help to resolve reliability issues and accelerate yield ramp.

The OptiFIB Taipan system has been completely re-engineered to provide exceptional accuracy and control during the circuit edit process. The system has a new chamber and stage to enhance beam placement accuracy and superior drift control. In addition, the OptiFIB Taipan column retains DCG’s unique, patented coaxial design to enable simultaneous capture of focused ion beam (FIB) and optical images. The column is equipped with piezo motors for control of apertures and gun to drive higher resolution and productivity.

The fully redesigned gas injection system, the Scorpion Plus, offers exceptionally pure and highly controlled chemical dosing.

“DCG Systems’s introduction of the OptiFIB Taipan continues our leadership in providing circuit edit solutions for the industry’s most advanced IC nodes,” said Ketan Shah, circuit edit business unit manager. “The new system offers the best circuit edit selectivity as well as the highest imaging and milling resolution of any circuit edit tool currently available on the market.”

Backside circuit modification on a FinFET device performed by OptiFIB Taipan

Backside circuit modification on a FinFET device performed by OptiFIB Taipan

SEMI-GAS, a line of ultra high purity gas source and distribution systems with robust control technology, has introduced the latest offering developed through its Applied Solutions custom-engineering process: the Xturion Liquid Push Delivery System (LPX) for the precise delivery and handling of ultra high purity liquids. The fully automatic system, operated by a GigaGuard PLC controller, features an intuitive 9” color touchscreen and user-definable alarms and set points.

The system’s liquid-tight, ducted enclosure includes a high flow manifold design with ½” inch stainless steel components.

LPX delivers ultra high purity liquids using an inert push gas, which doubles to support the system’s automatic purge processes. Built in accordance with SEMI-S2 standards and helium leak tested to 1.0 x 10-8 Atm-cc/sec, the Liquid Push System is also equipped with a number of safety features including vent line liquid leak sensors, an EMO button, and remote monitoring and shutdown functionality.

Other features of the system include:

  • An enclosure that accommodates up to 200 L (24” x 48”) drums / tanks, and that includes a liquid level detector and an 8” exhaust connection.
  • Flexibility in system configuration and flow capacity, for optional wall mount and/or rack mount applications, as well as standard and higher volume applications.

“The Xturion Liquid Push Delivery System is another example of our engineering innovation applied to solve a customer’s unique challenge, in this case related to high flow liquid delivery,” said Jim Murphy, General Manager of Applied Energy Systems. “While this system was initially developed to suit a specific need presented by a specific customer, we see the applicability of such a product in many other industry processes and production environments that use ultra high purity liquids. With that in mind, we designed the system with inherent flexibility, so that it can be easily tailored to address the particular needs of any customer seeking the safety, quality, and performance of the SEMI-GAS brand for ultra high purity liquid delivery.”

SEMI GAS