Tag Archives: letter-wafer-business

Ultratech, Inc. (Nasdaq: UTEK), a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HBLEDs), as well as atomic layer deposition (ALD) systems, today announced that it has received multiple commitments for its LM7 laser melt anneal system. After its recent introduction in Q416, two leading North American semiconductor manufacturers will target use of the LM7 melt system at 7nm and below nodes. Ultratech plans to ship both systems in the first half of 2017 to the customers’ facilities in the U.S.

As the industry faces the challenges of device manufacturing at 7nm and below, laser melt anneal technology has received attention as a solution not only for front-end-of-line, but also for middle- and back-end-of-line applications.  In particular, scaling at these nodes has resulted in scrutiny of the contact structure between the transistor and the first metal layer. The focus is not solely on the transistor device performance, but issues related to the resistance at the contact, which are becoming a limiting factor in the operation of the transistor, increasing drive current and limiting overall speed. A paper presented in December at the 2016 IEEE International Electron Devices Meeting (IEDM), which used Ultratech’s laser melt anneal system, provided data to support the system’s capability to enable contact scaling consistent with transistor performance targets for the 7-nm node and beyond.

“As we continue to scale to smaller nodes, contact resistance is widely acknowledged to be one of the gating issues that must be addressed,” said Yun Wang, Ph.D., Senior Vice President and Chief Technologist, Laser Processing at Ultratech. “Ultratech’s laser melt anneal technology addresses emerging annealing requirements for 7nm and beyond, with applications spanning the front end where the focus is on device performance and leakage improvement, the middle-of-line for contact resistance, and at the back-end-of-line where the focus is on material modifications and reduction of resistive capacitive (RC) delay. Over the last few years, Ultratech has been engaged with multiple customers on all of these applications, running wafers at our facility using our laser melt anneal technology. We look forward to working with these two customers and to providing our laser melt technology to meet their aggressive technology roadmaps.”

Ultratech LM7 Laser Melt Annealing System
The LM7 laser melt annealing system is based on the production-proven LSA201 laser spike anneal platform with ambient control. Built on this proven hardware/software platform, the LM7 provides a novel solution for melt annealing applications for 7nm and below nodes. The LM7 uses a unique dual-laser process that provides nanosecond-scale melt anneal with reduced pattern effects compared to conventional melt anneal approaches.  Ultratech’s LM7 laser melt anneal system provides the industry with a low cost-of-ownership solution for advanced annealing requirements for high-volume manufacturing at 7nm and beyond.

Telit, a global enabler of the Internet of Things (IoT), today announced that it is celebrating the 100th installation of its secureWISE software platform in a 300mm semiconductor fabrication plant.

As part of Telit’s IoT Factory Solutions, secureWISE has been providing over 12 years of secure remote IoT connectivity to tool manufacturers (OEMs) for the semiconductor industry. Telit’s IoT Factory Solutions focuses the company’s vision of connected factories, connected machines, and connected consumers and ties directly into its core IoT business. Building on 15 years of experience in industrial automation solutions deployed worldwide and connecting more than $300 billion in manufacturing assets, Telit is making it easy for customers to take advantage of the IIoT opportunity with multiple paths to deployment.

Telit’s secureWISE has been widely recognized as the de-facto solution for highly-secure remote access to semiconductor equipment. The software serves 18 of the top 20 OEMs and is used by every major integrated device manufacturer (IDM) and foundry to securely connect over 250 different tool types with their manufacturers. Connecting more fabs and OEMs than any other platform in the industry, secureWISE delivers secure, configurable end-to-end remote IoT connectivity across a closed, private network. It allows fabs and OEMs to remotely collaborate in ways that improve equipment performance at every stage of the process and lifecycle while protecting valuable intellectual property (IP).

Major semiconductor tool makers have introduced high availability service models that are tightly embedded into their machines installed at the fabs. OEMs are now able to use IoT and remotely collect data, to analyze, fix – as well as predict – any problem with their machines on the semiconductor production floor from any global location. They can offer immediate service and support from subject matter experts to the fabs. In turn, these new service models result in improved uptime and higher reliability of production tools.

The secureWISE eCentre server gives a fab full control of how, when, and what tools can be accessed, assuring that the OEM doesn’t have any unauthorized direct access to production tools. Furthermore, these built-in role-based access functions give fabs a detailed audit trail with comprehensive reporting and business analytics of all activities.

“We are proud of this milestone and the recognition that the semiconductor industry has made secureWISE their de-facto IoT software platform for secure remote monitoring and mediation of mission-critical manufacturing tools,” said Oozi Cats, CEO of Telit. “This is another testament to our fast-growing position around industrie 4.0 through our IoT Factory Solutions division, and it also illustrates how security is an integral part of our DNA, extending across all of Telit’s products and services.”

Spanning the globe, secureWISE is rapidly extending beyond 300mm fabs with new deployments across 200mm fabs, flat panel displays, solar and other manufacturing facilities.

SEMI, the global industry association representing the electronics manufacturing supply chain, today announced that the global semiconductor materials market increased 2.4 percent in 2016 compared to 2015 while worldwide semiconductor revenues increased 1.1 percent.

According to the SEMI Material Market Data Subscription, total wafer fabrication materials and packaging materials were $24.7 billion and $19.6 billion, respectively. Comparable revenues for these segments in 2015 were $24.0 billion for wafer fabrication materials and $19.3 billion for packaging materials. The wafer fabrication materials segment increased 3.1 percent year-over-year, while the packaging materials segment increased 1.4 percent.

For the seventh consecutive year, Taiwan was the largest consumer of semiconductor materials due to its large foundry and advanced packaging base, totaling $9.8 billion. Korea and Japan maintained the second and third places, respectively, while China rose in the rankings to claim the fourth spot during the same time. Annual revenue growth was the strongest in the China, Taiwan, and Japan markets. The materials market in Europe, Rest of World (ROW) and South Korea experienced nominal growth, while the materials market in North America contracted. (The ROW region is defined as Singapore, Malaysia, Philippines, other areas of Southeast Asia and smaller global markets.)

2015 and 2016 Regional Semiconductor Materials Markets (US$ Billions)

Region 2015* 2016 % Change
Taiwan

9.42

9.79

3.9%

South Korea

7.09

7.11

0.2%

Japan

6.56

6.74

2.8%

China

6.08

6.53

7.3%

Rest of World

6.09

6.12

0.6%

North America

4.97

4.90

-1.4%

Europe

3.07

3.12

1.5%

Total

43.29

44.32

2.4%

Source: SEMI, April 2017 Note: Figures may not add due to rounding.
* 2015 data have been updated based on SEMI’s data collection programs

Intermolecular, Inc. (NASDAQ: IMI) today announced a restructuring initiative to improve the overall effectiveness and efficiency of their materials services. In IMI’s statement, the company believes these changes will help IMI  become more customer-responsive and efficient while lowering costs by approximately $4M in 2017.

“Since joining IMI in August, I have been focused on building a broader and higher velocity pipeline to help grow our top line,” said Chris Kramer, president and Chief Executive Officer.  “The improvements made since September have centered on strengthening our commercial team, implementing a new approach towards building the sales pipeline and deepening our customer engagement.  Improving our effectiveness and efficiency is the next important step in our plan to grow IMI business and achieve profitability.”

The changes reflect the ongoing efforts to achieve IMI’s commercial, technical and financial objectives along the following lines:

  • Rapidly transition IMI into a more focused and nimble organization to create more value and move more quickly towards profitability
  • Focus primarily on materials innovation services for the semiconductor industry and its supply chain
  • Strengthen existing/returning customer relationships while building the sales pipeline with new clients
  • Focus on a limited R&D program in support of key services opportunities and licensed products

“I am confident the changes we are making will put IMI in a far better position for future growth and success,” added Kramer. “IMI provides highly valuable and needed materials innovation services now and for the future of the semiconductor industry.”

Concurrent to the restructuring initiative, IMI announced changes in its leadership team:

  • Bruce McWilliams, currently Executive Chairman, to transition to non-executive Chairman
  • Bill Roeschlein, currently Vice President of Finance and Corporate Controller to be named Chief Financial Officer following the retirement of Rick Neely

“Since Chris joined IMI in August 2016, he has demonstrated strong leadership and business acumen,” said Bruce McWilliams, Chairman of the Board. “In the last six months, he has focused on increasing IMI’s visibility, developing new customers and strengthening customer relationships while increasing the efficiency of our operation. Chris is an excellent executive and seasoned leader and I am looking forward to continuing to support him in his leadership role.”

“Bruce and Rick have been integral to IMI’s leadership team over the past years. I would like to thank them for the great expertise and support they have provided during my initial months at IMI,” said Kramer.  “I look forward to continuing to work with Bruce in his new role as non-executive Chairman and wish Rick all the best in his well-deserved retirement.  The Board and I know Bill very well, and we are confident he will do an outstanding job leading IMI’s financial activities going forward.”

Bill Roeschlein has served as IMI Vice President of Finance since August 2015.  Mr. Roeschlein has extensive experience as a finance executive. Prior to joining IMI, he was CFO at Aurora Algae, Power Integrations (POWI) and Determine Software, Inc. (formerly Selectica, Inc.). He also held senior financial management positions at Ultra Clean Technology, Asyst Technologies and Hewlett Packard.  Mr. Roeschlein is a CPA, with an MBA from Cornell University and a BA from UCLA.

A coalition of leaders from the global tech, defense, and aerospace industries, led by the Semiconductor Industry Association (SIA) and Semiconductor Research Corporation (SRC), today released a report identifying the key areas of scientific research needed to advance innovation in semiconductor technology and fulfill the promise of emerging technologies such as artificial intelligence (AI), the Internet of Things (IoT), and supercomputing. The report, titled Semiconductor Research Opportunities: An Industry Vision and Guide, also calls for robust government and industry investments in research to unlock new technologies beyond conventional, silicon-based semiconductors and to advance next-generation semiconductor manufacturing methods.

“Semiconductor technology is foundational to America’s innovation infrastructure and global technology leadership,” said John Neuffer, president and CEO of SIA, which represents U.S. leadership in semiconductor manufacturing, design, and research. “Our industry has pushed Moore’s Law to levels once unfathomable, enabling technologies that have driven economic growth and transformed society. Now, as it becomes increasingly challenging and costly to maintain the breakneck pace of putting more transistors on the same size of silicon real estate, industry, academia, and government must intensify research partnerships to explore new frontiers of semiconductor innovation and to foster the continued growth of emerging technologies. Taking swift action to implement the recommendations from the Vision report will help usher in a new era of semiconductor technology and keep America at the head of the class in technological advancement.”

Neuffer also noted concern in the tech, research, and academic communities about proposed cuts to basic scientific research outlined in the Trump Administration’s fiscal year 2018 budget blueprint. Basic scientific research funded through agencies such as the National Science Foundation (NSF), the National Institute of Standards and Technology (NIST), the Defense Advanced Research Projects Agency (DARPA), and the Department of Energy (DOE) Office of Science has yielded tremendous dividends, helping launch technologies that underpin America’s economic strength and global competiveness. The U.S. semiconductor industry invests about one-fifth of revenue each year in R&D – the highest share of any industry. Neuffer expressed the semiconductor industry’s readiness to work with the Administration and Congress to enact a budget that embraces the strategic importance of research investments to America’s continued economic and technological strength.

“Continued and predictable advancements in semiconductor technology have fueled the growth of many industries, including those historically based on mechanics such as automotive,” said Ken Hansen, president & CEO of SRC. “As the rate of dimensional scaling has slowed, the need to reinvigorate the investment in semiconductor research has become increasingly clear. Now is the time for industry, government, and academia to double down their resources and efforts to ensure the pace of renewal continues. Alternative strategies and techniques to the traditional scaling for performance are now being explored by SRC. Furthermore, with the support of SIA, SRC is building research programs that align with the Vision report, including complimentary technologies such as advanced packaging and communications. An infusion of funding is vital to expand the research breadth beyond the historical focus areas, enabling the industry to keep its promise of a continuous stream of products with improved performance at reduced cost. As industries look to future areas of growth and innovation, SIA and SRC are laying the groundwork for new discoveries through fundamental research.”

The Vision report is the culmination of work by a diverse group of industry experts and leaders, including chief technology officers at numerous leading semiconductor companies, who came together over a nine-month period in 2016-2017 to identify areas in which research is essential to progress. The report, which will be updated periodically moving forward, has active participation from the industry’s leading chip makers, fabless companies, IP providers, equipment and material suppliers, and research organizations. It will serve as a foundational guide for defining the semiconductor industry’s future research paths in 14 distinct but complimentary research areas. These areas, outlined in the Vision report, are as follows:

1. Advanced Devices, Materials, and Packaging2. Interconnect Technology and Architecture

3. Intelligent Memory and Storage

4. Power Management

5. Sensor and Communication Systems

6. Distributed Computing and Networking

7. Cognitive Computing

8. Bio-Influenced Computing and Storage9. Advanced Architectures and Algorithms

10. Security and Privacy

11. Design Tools, Methodologies, and Test

12. Next-Generation Manufacturing Paradigm

13. Environmental Health and Safety: Materials and Processes

14. Innovative Metrology and Characterization

 

New extreme-ultraviolet (EUV) lithography equipment unveiled by ASML, announcement by Intel of eight EUV programs ready to be rolled out, and introduction by IMEC of the industry’s first comprehensive solution for EUVL-enabled high-volume manufacturing systems were among highlights at SPIE Advanced Lithography 2017 in San Jose earlier this month.

Sponsored by SPIE, the international society for optics and photonics, the annual event is the year’s primary forum for the industry. Attendance was up this year over last, with nearly 2,300 participating, and ran 26 February through 2 March.

Speakers from ASML, Intel, KLA-Tencor, JSR Corp, IMEC, Samsung, and other organizations emphasized progress being made toward manufacturing computer chips using sub-10nm node lithography, sparking much discussion about when, where, and how — plus the occasional if — the next generation of lithography tools will enable high-volume and high-throughput manufacturing at an EUV wavelength of about 13.5nm. Presentation recordings are viewable on the SPIE Newsroom on SPIE.org.

Product System Engineer Mark van de Kerkhof reported on ASML’s NXE:3400B EUV scanner, which enables sub-10nm-node lithography — ASML’s first scanner that can produce 125 wafers/hour, the throughput rate needed in production fabs.

With ever-smaller feature sizes being designed, mask makers must continuously advance their technologies as EUV sources and other lithography tools advance, noted plenary speaker Frank Abboud, Vice President of Technology and Manufacturing Group at Intel, and General Manager, Intel Mask Operation.

“Almost every module in the mask shop is touched,” he said, including blank preparation, fiducial mark patterning, device patterning, black-border patterning, and metrology/characterization.”

Plenary speaker Ben Tsai, Chief Technology Officer and Executive Vice President of Corporate Alliances at KLA-Tencor, spoke on the return on investment of defect detection.

Inspection and metrology can involve 1,000 process steps for an advanced graphics processing unit, each requiring extremely high accuracy, he noted. In such a process model, if each step was 99.5% perfect, fewer than 1% of manufactured devices would work, illustrating the importance of investment in inspection and metrology to identify and resolve essentially all defects.

Starting with a vision of drivers for next-generation computing such as artificial intelligence (AI) and the computational power required, Nobu Koshiba, President and CEO of JSR Corporation, pointed out the extent to which the information explosion trending for years is continuing, with estimated data traffic in 2020 being 7× greater than in 2015.

The growth is stimulating AI advances, as are autonomous driving, precision medicine, genomic science, and cognitive computing, he said.

In a keynote talk, Philippe Leray, IMEC Group Leader of Advanced Metrology, described development of the first comprehensive solution for EUVL enablement in high-volume manufacturing. The approach serves as a basis for industry requirements for power, performance, area and cost, and includes proposals for design rules, masks, photoresists, etching, and metrology and an extensive process variation assessment, Leray said.

Donis Flagello, President, CEO, and COO of Nikon Research Corporation of America (NRCA), was presented with the 2017 Frits Zernike Award for Microlithography.

Semiconductor pioneer Burn Lin (National Tsin Hua University) was honored on the 30th anniversary of the Optical Microlithography conference in recognition of his serving as the first chair of the conference in 1988, and of his outstanding contributions to the lithography community. Lin was the founding editor of the SPIE Journal of Microlithography, Microfabrication, and Microsystems (JM3).

Six new Fellows of SPIE were recognized: Emily Gallagher (IMEC), Yuri Granik (Mentor Graphics), Qinghuang Lin (IBM Thomas J. Watson Research Center), David Pan (University of Texas, Austin), Mark Phillips (Intel Corp.), and James Thackarey (Dow Electronic Materials).

Bruce Smith, Rochester Institute of Technology, served as symposium chair, and Will Conley of Cymer, an ASML company, was symposium co-chair.

SPIE Advanced Lithography included seven conferences on lithographic topics, along with technical courses taught by experts from industry and academia, and a two-day exhibition.

Micron Technology, Inc. (NASDAQ:MU), a developer of advanced semiconductor systems, today announced that on March 14 it successfully won the auction for Cando Corporation assets, which will be utilized in establishing a back-end site for Micron Taiwan. Micron has now completed the title acquisition process for the new site.

The acquisition includes the cleanroom and tools that are adjacent to Micron’s existing Taichung fab, bringing the company’s fabrication and back-end together in one location. The new site will be focused on establishing a centralized back-end operation.

“This marks a significant step in our plan to create a center of excellence for leading-edge DRAM in Taiwan,” said Wayne Allan, VP, Global Manufacturing. “Bringing fabrication and back end together, all in one location, builds an efficient support structure for end-to-end manufacturing with quicker cycle times that benefit our business and customers.”

The new back-end site is expected to begin production in August, and the new integrated center of excellence is expected to bring greater operational cost efficiency that will benefit Micron’s DRAM business on a global scale. These cost efficiencies are part of the overall US$500 million of ongoing operational enhancement opportunities cited at the company’s 2017 analyst conference.

The strategic acquisition, with a winning bid of US$89.2 million, also highlights Micron’s goal to grow its presence in Taiwan – where it is the largest foreign employer and investor – from its current wafer manufacturing function to a broader center of expertise in the global memory industry. The back-end site will further enhance the company’s strong presence on the island, which already includes 300mm wafer fabrication facilities in Taichung and Taoyuan, as well as sales and technical support offices in Taipei.

The back-end operation will be led by site director Mike Liang, who joined Micron in November 2016 with more than 35 years of experience in the semiconductor industry. Having previously served in leadership roles at Ti-Acer, KYEC and Amkor Taiwan, Liang brings significant expertise in both front-end wafer fabrication and back-end assembly and test manufacturing.

SEMI, the global industry association representing the electronics manufacturing supply chain, today announced that it has moved its headquarters office to Milpitas, Calif. The new SEMI office is approximately five miles (eight kilometers) from the former location in San Jose, Calif.

SEMI is a global organization with offices in the U.S., China, Europe, India, Japan, Korea, Singapore and Taiwan. SEMI’s headquarters houses its global leadership and administration staff as well as Americas region personnel. Through maintaining its headquarters in Silicon Valley, SEMI continues to be connected to the region’s unique innovation ecosystem.

In addition to providing efficient and cost-effective office space for SEMI staff, the new facility features a dedicated conference center with configurable seminar rooms, modern infrastructure and amenities. The new facility better supports SEMI member networking and collaboration needs ─ from SEMI Standards and Special Interest Groups to SEMI’s network of Strategic Association Partners, including FlexTech, MEMS & Sensors Group (MSIG), and the Fab Owners Association (FOA).

SEMI Headquarters new location is:

SEMI

673 S. Milpitas Blvd.

Milpitas, CA 95035

“Our new location with its enhanced operational capabilities and efficiencies will help us better serve the growth and evolving needs of our members,” said Rich Salsman, CFO and VP of Operations at SEMI.

North America-based manufacturers of semiconductor equipment posted $1.97 billion in billings worldwide in February 2017 (three-month average basis), according to the February Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI.

SEMI reports that the three-month average of worldwide billings of North American equipment manufacturers in February 2017 was $1.97 billion. The billings figure is 6.1 percent higher than the final January 2017 level of $1.86 billion, and is 63.8 percent higher than the February 2016 billings level of $1.20 billion.

“Billings levels remain elevated as memory and foundry manufacturers continue to invest in advanced semiconductor technologies,” said Ajit Manocha, president and CEO of SEMI. “These investments are paving the way for the ramp of 3D NAND and 1X-nm devices.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Year-Over-Year

September 2016

$1,493.3

-0.1%

October 2016

$1,630.4

20.0%

November 2016

$1,613.3

25.2%

December 2016

$1,869.8

38.5%

January 2017 (final)

$1,859.4

52.3%

February 2017 (prelim)

$1,973.1

63.8%

Source: SEMI (www.semi.org), March 2017

 

SEMI ceased publishing the monthly North America Book-to-Bill report in January 2017.  The decision to discontinue the Book-to-Bill report was based on changes in reporting by some participants where the reporting of orders/bookings into the data collection program is no longer considered a necessary component of their industry analysis.

SEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today reported that worldwide sales of semiconductor manufacturing equipment totaled $41.24 billion in 2016, representing a year-over-year increase of 13 percent. 2016 total equipment bookings were 24 percent higher than in 2015. The data are available in the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) Report, now available from SEMI.

Compiled from data submitted by members of SEMI and the Semiconductor Equipment Association of Japan (SEAJ), the Worldwide SEMS Report is a summary of the monthly billings and bookings figures for the global semiconductor equipment industry. The report, which includes data for seven major semiconductor producing regions and 24 product categories, shows worldwide billings totaled $41.24 billion in 2016, compared to $36.53 billion in sales posted in 2015. Categories cover wafer processing, assembly and packaging, test, and other front-end equipment. Other front-end includes mask/reticle manufacturing, wafer manufacturing, and fab facilities equipment.

Spending rates increased for Rest of World (primarily Southeast Asia), China, Taiwan, Europe and South Korea while the new equipment markets in North America and Japan contracted. Taiwan claimed the largest market for new semiconductor equipment for the fifth year in a row with $12.23 billion in equipment sales. South Korea remained the second largest market for the second year in a row. The market in China increased 32 percent, surpassing both Japan and North America to become the third largest market. The 2016 equipment markets in Japan and North America fell to fourth and fifth place, respectively. The global other front-end segment decreased 5 percent; the wafer processing equipment market segment increased 14 percent; total test equipment sales increased 11 percent; and the assembly and packaging segment increased 20 percent.

Semiconductor Capital Equipment Market by World Region (2015-2016)

2016
2015
% Change
Taiwan
12.23
9.64
27%
South Korea
7.69
7.47
3%
China
6.46
4.90
32%
Japan
4.63
5.49
-16%
North America
4.49
5.12
-12%
Rest of World
3.55
1.97
80%
Europe
2.18
1.94
12%
Total
41.24
36.53
13%

Source: SEMI (www.semi.org) and SEAJ, March 2017; Note: Figures may not add due to rounding.