Tag Archives: letter-wafer-business

Mohamed Saleem has joined Brooks Instrument as the company’s new chief technology officer (CTO), where he will oversee its California-based technology development center. Brooks Instrument is a provider of precision fluid measurement and control technology for the semiconductor, industrial and life science industries.

“We’re pleased to have Saleem as our new CTO,” said Vice President and General Manager, Sharon Szafranski. “He will play an integral role in establishing our technical vision, driving advanced technology development, and providing a strategic focus on new and disruptive technology and solutions.”

“Brooks Instrument has a long legacy in fluid measurement and control,” said Saleem. “I look forward to working with our engineering group and our leadership team and the technical community to enhance and develop new products for our key market segments and to grow into new markets.”

Saleem has more than 20 years of experience working with leading companies in the semiconductor industry. Most recently, he was vice president of engineering and business development at Fujikin of America, and a member of their board of directors.

He holds a bachelor’s degree in chemical engineering from the National Institute of Technology in India; a master’s degree in chemical engineering from Tufts University; and a Ph.D. in materials science and engineering from the University of Florida. In addition, Saleem is active in several SEMI industry technical groups and has published and co-authored numerous technical papers in semiconductor-related journals.

Ultratech, Inc. (Nasdaq:  UTEK), a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HBLEDs), as well as atomic layer deposition (ALD) systems, today announced that it has received a repeat, multiple-system order from a leading semiconductor manufacturer for its advanced packaging AP300 lithography systems. The AP300 systems will be utilized for high-volume, leading-edge, fan-out wafer-level packaging (FOWLP) applications used to manufacture application processors. Ultratech will begin shipping the AP300 systems in the first two quarters of this year to the customer’s facility in Asia.

Ultratech General Manager and Vice President of Lithography Products Rezwan Lateef stated, “Ultratech has maintained its leadership position in the advanced packaging market segment by consistently delivering superior on-wafer results, cost-of-ownership and reliability performance for high-volume manufacturing (HVM) environments. Fan-out technologies continue to be the optimal solution for the highly-demanding mobile and wireless markets by offering improved performance in a reduced form factor. The AP300 is ideally suited to address this market with HVM -proven extendibility well below 2 microns. This substantial repeat order again confirms our technology leadership and the value proposition of Ultratech’s AP300 systems over full-field 1X scanners and reduction steppers. We are pleased to expand our photolithography-tool-of-record position at this valued customer. We look forward to working with them to meet their volume production and technology roadmaps.”

Ultratech’s AP300 Family of Lithography Steppers 

The AP300 family of lithography systems is built on Ultratech’s customizable Unity Platform, delivering superior overlay, resolution and side wall profile performance and enabling highly-automated and cost-effective manufacturing. These systems are particularly well suited for copper pillar, fan-out, through-silicon via (TSV) and silicon interposer applications. In addition, the platform has numerous application-specific product features to enable next-generation packaging techniques, such as Ultratech’s award winning dual-side alignment (DSA) system, utilized around the world in volume production.

Worldwide semiconductor wafer foundry leader GLOBALFOUNDRIES published its global manufacturing business expansion plan today. The company will continue investing in its wafer plants in the United States and Germany, expand its capacity in Singapore, and construct a facility to produce 12inch wafers in Chengdu, China in order to satisfy Chinese and global demands for the company’s 22FDX technology.

According to the cooperation plan of the two parties, the Chengdu plant will start production in Q4 of 2018, with fabrication of the advanced 22FDX to begin in Q4 of 2019.

CEO of GLOBALFOUNDRIESSanjay Jha, indicated that, “From the world-class RF-SOI platform used for wireless Internet devices, to the technical roadmaps of the state-of-the-art FD-SOI and FinFET, they all serve as evidence of the market’s tremendous demands for our main staple and progressive technologies. The construction of the 12inch wafer plant in the High and New Technology Development Area of Chengdu will be conducive to accelerating our expansion in the Chinese market.”

The High and New Technology Development Area of Chengdu is home to one of China’s most prominent IT industry clusters, hosting a plethora of global IT giants including Intel, Texas Instrument, AMD, MediaTek, Dell, Lenovo and Foxconn, as well as 115 of the Fortune Global 500 companies. In 2016, the High and New Technology DevelopmenArea posted total trade amounting to USD 24.9 billion.

On the same dayGLOBALFOUNDRIES also unveiled its brand new trade name for the Chinese market: “Ge Xin“, and announced the establishment of a new joint venture — Gexin (Chengdu) Integrated Circuit Manufacturing Co., Ltd. In Chinese, the name “Ge Xin” shares the same pronunciation as the Chinese word for “innovation” and signifies rebirth, reinvigoration and reform.

Toshiba Corporation (TOKYO:6502) today announced that it has started construction of a new semiconductor fabrication facility, Fab 6, and a new R&D center, the Memory R&D Center, at Yokkaichi Operations in Mie prefecture, Japan, the company’s main memory production base.

Fab 6 will be dedicated to production of BiCS FLASH, Toshiba’s 3D Flash memory. Like Fab 5, construction will take place in two phases, allowing the pace of investment to be optimized against market trends, with completion of Phase 1 scheduled for summer 2018. Toshiba will determine installed capacity and output targets and schedules by closely monitoring the market.

Toshiba will also construct a Memory R&D Center adjacent to the new fab, with completion targeting December 2017. The facility will advance development of BiCS FLASH and new memories.

Toshiba is determined to enhance its competitiveness in the memory business by timely expansion of BiCS FLASH production in line with market trends, and to retain leadership in innovation in the memory business.

Micron Technology, Inc. today announced the upcoming retirement of its Chief Executive Officer, Mark Durcan. The Board of Directors has formed a special committee to oversee the succession process and has initiated a search, with the assistance of an executive search firm, to identify and vet candidates. The Board has not established a timeframe for this process and intends to conduct a deliberate review of candidates who can contribute to Micron’s future success. Mark Durcan will continue to lead Micron as CEO during this process and will assist the company with its search and subsequent leadership transition.

“Mark Durcan recently discussed with the Board his desire to retire from Micron when the time and conditions were right for the company,” said Robert E. Switz, Chairman of the Board and a member of the search committee. “As CEO, he has successfully guided Micron’s strategy and growth for the past five years and has allowed the company to initiate this transition from a position of strength. The Board is committed to thoughtful long-term succession planning and takes seriously its responsibility to maintain a high-caliber management team and to ensure successful executive leadership transition. We expect Mark to play an instrumental role in securing and transitioning his replacement.”

Micron Technology, Inc., is a global leader in advanced semiconductor systems. Micron’s broad portfolio of high-performance memory technologies—including DRAM, NAND and NOR Flash—is the basis for solid state drives, modules, multichip packages and other system solutions. Backed by more than 35 years of technology leadership, Micron’s memory solutions enable the world’s most innovative computing, consumer, enterprise storage, networking, mobile, embedded and automotive applications. Micron’s common stock is traded on the NASDAQ under the MU symbol. To learn more about Micron Technology, Inc., visit www.micron.com.

(Note: This is Part 2 of a two-part article; Part 1 is here)

By Denny McGuirk, president and CEO, SEMI

“Do not go where the path may lead, go instead where there is no path and leave a trail,” was how I started last week’s article.  In that article we looked back on 2016 and the incredible progress of the industry and how it continually cuts new trail and keeps moving at the speed of Moore’s Law.  In this week’s follow up, I would like to talk about where the industry is going and how SEMI is changing to keep up with it.  As not everyone is aware of all SEMI does, the following is a quick reminder on how SEMI works to represent the industry before looking ahead to 2017, specifically, and beyond.

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and I’d like to update you on what we’ve accomplished and what’s to come.

SEMI 2020: “The Only Time You Should Look Back is to See How Far You’ve Come”

SEMI organized its SEMI 2020 transformation into three basic pillars of the SEMI 2020 strategy.  First, “reenergizing the base,” where SEMI focuses on enriching delivered value for the present day needs of its traditionally engaged membership base.  Second, “building communities and collaboration,” where SEMI works to develop specific forums and groups to meet specific needs and focus on specific technologies and products.  Third, “evolving SEMI value propositions for 2020,” which is the work of changing and innovating SEMI products and services for the needs of the industry in the future.

To date, SEMI has made great progress on these three pillars, here are a few examples:

1. Reenergize Base

  • Grew membership to ~2,000 global SEMI member companies
  • Growth in SEMICON expositions:
    • 248,738 global exhibition visitors in 2016 (up 8 percent year-over-year)
    • 4,410 global exhibitors in 2016 (up 5 percent in m2 of exhibition space sold)
  • Realignment of SEMI with organization changes in Americas, China, Europe, and HQ

2. Build Communities and Collaboration

 

  • FlexTech joined SEMI as Strategic Association Partner: SEMI FLEX conferences and programs are now in America, Europe, Korea, SEA and Japan
  • MEMS and Sensors Industry Group (MSIG) joined SEMI as Strategic Association Partner
  • SEMI Special Interest Groups developed and globalized — Chemical and Gases Manufacturers Group (CGMG), SEMI integrated Packaging and Test (SiPAT), Semiconductor Components, Instruments & Subsystems (SCIS), etc. — integrating broad areas of the supply chain
  • Development of SEMI Collaborative Technology Platforms with initial activities in Interconnect, Heterogeneous Integration Roadmap (partnered with IEEE CPMT, EDS, & Photonics Societies), etc.
  • Introduction and co-sponsoring of special interest programs such as FUTURECAR and regional SMC conferences

 

3. Evolve SEMI Value Propositions for 2020

  • SEMI (automation) Standards adapted for Smart Manufacturing (Industry 4.0)
  • Improved channels: new SEMI Global Update, new website, social media (follow SEMI on LinkedIn and Twitter), infographics
  • New data products such as 200mm reportpackaging report, mobile version of fab database (FabView)
  • New programs such as SEMI European MEMS conference
  • SEMI Foundation widening scope on Workforce Development
  • Advocacy activities leveraging collective action on trade, industry funding, export control, taxation, and sustainable manufacturing (including regulation of safety, materials, and environmental impact).

 

SEMI 2020: “The Road to Success is Always Under Construction”

 

SEMI continues to conduct surveys, uses multiple means of gathering the voice of the customer, and constantly aligns with guidance from its various committees, regional advisory boards, and International Board of Directors.  Despite its name, SEMI 2020 is a journey and not a destination.  SEMI will continue to evolve, develop, and add critical communities, services, products, and industry advocacy as SEMI’s members evolve.

While many of the SEMI activities captured above will continue, the following provides a sampling of activities more specific to SEMI’s work in 2017.

1. Reenergize Base

  • Increase frequency and depth of SEMI outreach and grow SEMI’s global membership and engagement
  • Launch SEMICON Europa 2017 co-location with productronica in Munich to connect to electronics manufacturing supply chain while preserving SEMI’s core community within its own show
  • Launch new engagement and experiential components at SEMICON West and SEMICON Japan
  • Move HQ headquarters to more member-suited, collaborative, efficient, and smaller building in Milpitas

 

2. Build Communities and Collaboration

 

  • Develop four vertical application collaborative forums:  World of IoT, Smart Automobile, Smart Manufacturing, and Smart MedTech
  • Fully integrate FlexTech and MSIG into SEMI’s global infrastructure and develop regional communities and events for these distinct adjacent communities
  • Provide association services to the Fab Owners Association as a SEMI Strategic Association Partnership
  • Continue to develop and increase global participation in SEMI Special Interest Groups such as SCIS, CGMG, and SiPAT to provide the specific and current needs of SEMI’s members

 

3. Evolve SEMI Value Propositions for 2020

  • Provide greater inbound and outbound member visibility and member services for fast-developing China region
  • Further develop SEMI Standards for Smart Manufacturing including a focus on big data and security
  • Advocate for funding for SEMI member pre-competitive projects in all global regions
  • Develop and improve industry training and education capabilities in all regions
  • Raise visibility for SEMI in securing unrestricted trade for semiconductor manufacturing and extended supply chain

“Roads Were Made for Journeys, Not Destinations”  

This quotation, generally attributed to Confucius, ties the themes of the road of this year’s annual update to my personal journey.  As you may know, at the end of 2016, I announced my intention to retire and while I’ll remain until a successor is identified, this will be my last SEMI update.

My personal journey has definitely not been a straight line and that’s made it all the more interesting – and, I hope, made me a “more skillful driver.”  Instead of the road, the sky used to be my home (although, with trips to Asia and Europe, sometimes it still feels like I’m still there!), with many years flying with the United States Air Force.  After that, my path led to the world of non-profit leadership and eventually, prior to SEMI, leading IPC, the interconnect trade association.  As the industry has blurred the borders of PC boards and substrates and semiconductor packages, maybe it was natural that I would also shift from IPC to SEMI.

I’ve been at SEMI for over five years and have constantly been amazed by the speed of the industry, the exceptional professionals and their astounding innovations, and the tight global cooperation and support.  When I started, there was a flashpoint in the potential jump to pursue the 450mm wafer size.  I got to know our industry and our members very quickly!  But, I almost immediately learned, this is a unique industry where collaboration across the electronics manufacturing supply chain is critical, where global stakeholders are well connected, and where – with Moore’s Law as precedent – industry leaders are used to working together, no matter if collaborators or competitors, for the good of the industry.

I am grateful to call many in our industry friends.  It is with regret that I won’t be seeing these friends as frequently as before, certainly.  However, I am pleased to be leaving behind a sound a valued SEMI organization with the professionals and plans in place to carry SEMI 2020 forward and deliver more valued services, products, and above all connections for its members.  I am happy for my time at SEMI and am grateful to the SEMI staff, SEMI International Board of Directors, and SEMI Members for the opportunity to serve the amazing association

Research and Markets has announced the addition of the “Semiconductor Epi’ (Epitaxy) Wafer Manufacturing Market: By Application (IDMs, Memory manufacturers, and Foundries) & By Region (North America, Europe, Asia-Pacific, RoW)-Forecast (2016-2022)” report to their offering.

Epitaxy is the process of deposition of a crystalline layer over a crystalline-based semiconductor substrate or surface. Globally, development of efficient and advanced technology, rising demand for electronic devices including laptops, tablets, gaming consoles, smartphones, flourishing electronics and semiconductor industry, and advantageous properties of semiconductor Epi’ (Epitaxy) wafer are the prime growth drivers of the semiconductor Epi’ (Epitaxy) wafer manufacturing market.

Geographically, Asia Pacific dominated the semiconductor ‘Epi’ (Epitaxy) wafer manufacturing market, followed by North America. Asia Pacific is projected to have the fastest growth, owing to a rapidly increasing demand for semiconductor devices like logic, analog, opto, and sensor devices, rise in industrial sector, and presence of several semiconductor foundries, such as Samsung and TSMC in developing nations such as China, and India in this region. Among all the applications, foundries segment has the highest market share in the semiconductor ‘Epi’ (Epitaxy) wafer manufacturing market due to a spur in consumption of laptops, tablets, gaming consoles, smartphones.

In addition, emergence of memory devices like 3D NAND and DRAM, increase in adoption of semiconductor Epi’ (Epitaxy) wafer manufacturing for application in new industrial verticals, and emerging economies such as China, India and others, will create new opportunities for the semiconductor Epi’ (Epitaxy) wafer manufacturing market. However, higher initial cost of manufacturing, complex government approval processes, and higher cost of semiconductor Epi’ (Epitaxy) wafer as compared to conventional mineral oils are the key restraints for the semiconductor Epi’ (Epitaxy) wafer manufacturing market.

This report identifies the semiconductor Epi’ (Epitaxy) wafer manufacturing market size for the years 2014-2016, and forecast of the same till the year 2022. It also highlights the market drivers, restraints, growth indicators, challenges, and other key aspects with respect to the semiconductor Epi’ (Epitaxy) wafer manufacturing market.

This report identifies all the major companies operating in the semiconductor ‘Epi’ (Epitaxy) wafer manufacturing market. Some of the major companies’ profiles in detail are as follows:

Applied Materials
Tokyo Electron
Hitachi Kokusai Electric
Canon Anelva Corporation
Sillicon Valley Microelectronics

By Denny McGuirk, SEMI president and CEO

“Do not go where the path may lead, go instead where there is no path and leave a trail.”  Attributed to Ralph Waldo Emerson, this could be the credo of our industry.  Moore’s Law has created $13 trillion of market value and we’ve been pioneering the way forward – since even before Gordon Moore made the famous “observation” that became Moore’s Law more than 50 years ago.  Our industry paved the road forward with advancements in design, materials, processing, equipment, and integration, traveling at the speed of exponential growth number in transistors per chip (doubling approximately every two years).

Today, globally, we’re shipping more than one trillion ICs per year!  Leading-edge chips boast more than 10 billion transistors at the advanced 10nm (gate length) technology node and are made with 3D FinFET architectures formed by 193nm wavelength immersion multi-patterning lithography.  It’s become a very challenging – and very expensive – road (a single lithography tool alone costs in the tens of millions of dollars).  The companies building the road ahead are bigger and fewer as massive bets now need to be placed on new fabs costing more than $5 billion and even $10 billion and where a new single chip design alone costs more than $150 million to bring into production.

What follows, in Part 1 of this two-part article, is a quick look back at the industry in 2016 and the road ahead in 2017 followed by what SEMI achieved in 2016 and where SEMI’s road will lead in 2017 to keep pace our industry charging forward where there is no path. Part 2 (next week’s Global Update) will focus on SEMI 2020 initiatives.

A look back at 2016: “Straight roads do not make skillful drivers”

2016 was definitely not a straight road; truly it was a wild ride – so, SEMI members have become extremely skilled drivers. The semiconductor manufacturing industry had a slow first half with pessimism building throughout the first quarter, but by April semiconductors bottomed and NAND investment and a slate of new China projects drove a strong second half.  For semiconductor equipment, SEMI’s statistics indicate global sales in 2015 were $36.5 billion and 2016 came in at $39.7 billion, ultimately ending up about 9 percent.  For reference semiconductor materials in 2015 was $24.0 billion and 2016 came in at $24.6 billion, up nearly 2.6 percent year-over year (YoY).

But, it turns out, that’s not half the story.  2016 was full of surprises.  At the geopolitical level, Brexit, an impeachment in South Korea, and a Trump win were wholly unanticipated and leave a lot of questions as to how that road ahead might look.  In technology, the Galaxy Note 7 mobile phone became an airline hazard announcement and stalwarts like Yahoo! faded into the background (now part of Verizon).  In part due to challenges of the road ahead (and because the cost of capital remained low) M&A fever continued in semiconductors with more than $100B in deals announced in 2016.

It was an astonishing year for combinations with huge deal announcements such as Qualcomm buying NXP for $47 billion and SoftBank buying ARM for $32 billion.  Meanwhile, mergers in the equipment and materials space continued, to name a few notables ASML’s acquisition of Hermes Microvision, DuPont and Dow announcing the intent to merge (announced December 2015, but still in the works), and Lam Research and KLA-Tencor ultimately calling off their deal due to complications of regulatory pushback.  The extended supply chain was mixing things up, too, with acquisitions like the announcement by Siemens to acquire Mentor Graphics.  It has been very active, overall.  This was the second year of semiconductor M&A deals valued at more than $100 billion, a signal that size and scale is critical to build the road ahead.

A look ahead: “Difficult roads often lead to beautiful destinations”

With all the talk about roads, it’s no surprise that the automotive segment is gathering momentum as a strong growth driver for the electronics supply chain.  Not only is there increasing electronics content in cars for comfort and infotainment, but also for assisted and autonomous driving and electric vehicles which are ushering in a new era of electronics consumption.

Along with automotive, IoT (Internet of Things), 5G, AR/VR (Augmented Reality and Virtual Reality), and AI (Artificial Intelligence) round out a set of powerful IC and electronics applications drivers (see figure).  Per an IHS Study, 5G alone may enable as much as $12.3 trillion in goods and services in 2035. Gartner’s most recent forecast is cause for optimism further down the electronics manufacturing supply chain.  Gartner see IC revenue growing from 2016’s $339.7 billion to 2017’s $364.1 billion up 7.2 percent and growing further in 2018 at $377.9 billion up 3.8 percent.  For semiconductor equipment, SEMI’s forecast indicates 2015 was $36.5 billion, 2016 will come in at $39.7 billion, and 2017 is projected to be $43.4 billion, pointing to both 2016 and 2017 experiencing approximately 9 percent YoY growth.

In 2017, China investment is projected to continue as a major driver, likely consuming over 16 percent of the total global equipment investment (second only to South Korea).  SEMI is currently tracking 20 new fab projects.  Investments come from both multinationals and local Chinese ventures.  A sign of the rise of China is China’s upward production share trend of its own IC consumption market (IC Insights): 8 percent in 2009, 13 percent in 2015, and 21 percent in 2020. Further down in the electronics supply chain, fab equipment related spending in China will rise to more than $10 billion per year by 2018 and remain at that level or above for subsequent years.

NAND will continue to be a major driver with 3D NAND investment leading the way.  Silicon in Package (SiP) and heterogeneous integration will increasingly be solutions to augment traditional feature scaling to fit more transistors into less space at lower costs.  Materials innovations will be relied upon to solve front-end and packaging challenges while standard materials will be the focus of increased efficiencies and cost reduction. 200mm fab capacity will grow and stimulate new 200mm investment with upside driven by power devices and MEMS segments.  Investment in foundry MEMS will grow by an estimated 285 percent (2015 to 2017).

“There are far better things ahead than any we leave behind”

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

As a reminder, here are SEMI’s mission, vision, and 2020 strategic focus areas.

  • Mission — our focus for the next five years
    • SEMI provides industry stewardship and engages our members to advance the interests of the global electronics manufacturing supply chain.
  • Vision — what we stand for
    • SEMI promotes the development of the global electronics manufacturing supply chain and positively influences the growth and prosperity of its members.  SEMI advances the mutual business interests of its membership and promotes a free and open global marketplace.
  • Members’ Growth — 2020 strategic focus
    • SEMI enables member growth opportunities by evolving SEMI communities and building new communities across the global electronics manufacturing supply chain via cooperation, partnerships, and integration.
  • Members’ Prosperity — 2020 strategic focus
    • SEMI enables members to prosper by building extended supply chain collaboration forums providing opportunities to increase value while optimizing the supply chain for SEMI members.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and in next week’s e-newsletter Global Update, I’d like to update you on what we’ve accomplished and what’s to come.

North America-based manufacturers of semiconductor equipment posted $1.99 billion in orders worldwide in December 2016 (three-month average basis) and a book-to-bill ratio of 1.06, according to the December Equipment Market Data Subscription (EMDS) Book-to-Bill Report published today by SEMI.  A book-to-bill of 1.06 means that $106 worth of orders were received for every $100 of product billed for the month.

SEMI reports that the three-month average of worldwide bookings in December 2016 was $1.99 billion. The bookings figure is 28.3 percent higher than the final November 2016 level of $1.55 billion, and is 47.8 percent higher than the December 2015 order level of $1.34 billion.

The three-month average of worldwide billings in December 2016 was $1.87 billion. The billings figure is 15.7 percent higher than the final November 2016 level of $1.61 billion, and is 38.2 percent higher than the December 2015 billings level of $1.35 billion.

“2016 ended the year with bookings levels approaching $2 billion,” said Denny McGuirk, president and CEO of SEMI. “This combined with a significant increase in billings puts 2016 equipment sales of North American manufacturers well above 2015 levels and well positioned for 2017.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

July 2016

$1,707.9

$1,795.4

1.05

August 2016

$1,709.0

$1,753.4

1.03

September 2016

$1,493.3

$1,567.2

1.05

October 2016

$1,630.4

$1,488.4

0.91

November 2016 (final)

$1,613.3

$1,547.5

0.96

December 2016 (prelim)

$1,865.8

$1,985.4

1.06

Source: SEMI (www.semi.org), January 2017

SEMI will cease publishing the monthly North America Book-to-Bill report this year. The December 2016 report and press release is the last publication.  The decision to discontinue the Book-to-Bill report is based on changes in reporting by some participants where the reporting of orders/bookings into the data collection program is no longer considered a necessary component of their industry analysis.

SEMI will continue to publish a monthly billings report and issue a press release Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report that SEMI prepares in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings and bookings data by 24 equipment segments and by seven end market regions. Beginning with the January 2017 WWSEMS, bookings information will only be available for the back-end equipment segments of the industry.

SEMI continues to track semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases. These powerful tools provide access to spending forecasts, capacity ramp, technology transitions, and other information for over 1,000 fabs worldwide.  For an overview of available SEMI market data, please visit www.semi.org/en/MarketInfo.

This week, Future Market Insights (FMI) releases its latest report on the semiconductor assembly and testing services market. The global market for semiconductor assembly and testing services (SATS) will continue to be primarily driven by the surging demand for high-end packaging solutions. The global semiconductor assembly and testing services market will possibly reach a value of US$ 24.72 Bn by 2016 end. The market will gain continued traction communication vertical. Asia Pacific will remain the most attractive market for semiconductor assembly and testing services.

Increased demand for outsourced SATS or OSAT services will be a remarkable trend favoring the growth of the global SATS market. With the rapidly thriving consumer electronics industry, the demand for connectivity and mobility is also on the rise, which is foreseen to be an important booster to the demand for connected devices, eventually fostering the semiconductor assembly and testing services market. Rising adoption of multimedia technology devices is identified to be another factor bolstering the demand for SATS. A number of SATS providers offer value added services, such as in-house testing and high-end packaging, which will remain an important driver to the market growth. Several integrated design manufacturers are increasingly prioritising semiconductor assembly and testing services as a time-efficient alternative.

Moreover, rising demand for automotive safety systems is expected to be a strong factor providing impetus to the SATS market. Due to higher costs associated with larger wafer fabrication factory, manufacturers are largely inclined toward outsourcing semiconductor assembly and testing services to third party providers. Leading fabless companies will continue to outsource everything, including testing, assembly, and packaging of semiconductor. This will favour the market growth. Rising adoption of automotive electronics and promising emergence of next-generation electronic vehicles are likely to boost the market growth further.

However, high capital costs related to high-end packaging solution provision, volatility of prices in the market, and uncertainty in exchange rates will continue to pose a negative impact on the global SATS market growth.

By service, assembly and packaging segment will continue to be dominant over the testing segment, prominently driven by the rising demand for consumer electronics and advanced packaging solutions.

On the basis of packaging solution, the copper wire and gold wire bonding segment is expected to retain the leading segment position with over 53% market value share, accounting for the revenues of around US$ 13.24 Bn in 2016. However, the growth of this segment is likely to witness sluggish growth post-2016. The flip chip segment is foreseen to exhibit a robust growth rate, contributing around 18% share to the entire market revenues in 2016. This segment will witness an impressive Y-o-Y growth of 8.6% in 2017 over 2016.

Based on application, communication segment is projected to remain dominant, whereas consumer electronics application segment is likely to register a stellar growth rate in terms of Y-o-Y.

By regional analysis, the global semiconductor assembly and testing services market is segmented into four key markets viz. North AmericaEuropeAsia Pacific, and Middle East and Africa. APAC will remain the dominant market with over 84% market value share in 2016 but is anticipated to witness a consistent Y-o-Y decline post-2016. On the other side, North America is likely to see a consistent gain in the Y-o-Y growth post-2016. This region will account for over 31% share of the market in 2016, in terms of revenues.

Some of the key companies operating in the global marketplace for semiconductor assembly and testing services (SATS), include Amkor Technologies Inc., ASE Group, Silicon Precision Industries Co. Ltd., STATS ChipPAC Ltd. (JCET), Psi Technologies Inc. (IMI), Powertech Technology Inc., Global Foundries, CORWIL Technology corporation, and Chipbond Technology Corporation.

Long-term Outlook: By 2021 end, the global semiconductor assembly and testing services (SATS) market is expected to account for US$ 39.05 Bn in terms of revenues.