Tag Archives: letter-wafer-business

Micron Technology, Inc. today announced that Robert Peglar has been named as vice president of Advanced Storage Solutions.

Peglar will lead next-generation technology and architecture enablement efforts of Micron’s Storage Business Unit to drive storage solution development with strategic customers and partners. A 38-year veteran of the data storage industry, Peglar joins Micron after serving as Chief Technical Officer, Americas, for EMC Isilon since 2011.

“We are excited to have Rob join our Storage Business Unit. Micron continues to attract top industry talent as a further demonstration of our commitment to build a world-class storage business,” said Micron President Mark Adams.

Prior to joining EMC, Peglar served as Senior Fellow and Vice President of Technology at Xiotech, held technology and engineering leadership roles at StorageTek and its subsidiary Network Systems Corporation and engineering development and product management roles for ControlData and its supercomputer division ETA Systems.

Micron Technology, Inc., is a developer of advanced semiconductor systems. Micron’s broad portfolio of high-performance memory technologies—including DRAM, NAND and NOR Flash—is the basis for solid state drives, modules, multichip packages and other system solutions. Micron’s common stock is traded on the NASDAQ under the MU symbol.

SEMI today announced the “Call for Papers” for technical sessions and presentations for SEMICON Europa 2015 which takes place October 6-8 in Dresden, Germany. Technical presentation abstracts are due April 30.

SEMICON Europa 2015 will feature more than 100 hours of technical sessions and presentations focused on critical industry topics that are shaping the design and manufacturing of semiconductors, MEMS, printed and flexible electronics, and other related technologies.

Abstracts for presentations are now being accepted for:

  • 17th European Manufacturing Test Conference (EMTC): “Zero defect in shortest time to market and lowest cost –  is it possible?”
  • Advanced Packaging Conference:  “Interconnects in Miniaturized Systems”
  • Semiconductor Technology Conference: “Productivity Enhancements for future Technology Nodes”
  • Plastic Electronics Conference: Business Cases; Manufacturing; Technology/Materials

The SEMICON Europa abstract submission deadline is April 30.  Prospective presenters are invited to submit abstracts (1,000-2,000 characters). Material must be original, non-commercial and non-published. Abstracts must clearly detail the nature, scope, content, organization, key points and significance of the proposed presentation.  More information on how to submit your abstract is available on the “Call for Papers” homepage. Visit www.semiconeuropa.org or contact Christina Fritsch, SEMI Europe, at Tel. +49 30 3030 8077 18 or email [email protected].

Co-located with SEMICON Europa 2015, the Plastic Electronics Conference will also take place in Dresden from October 6-8.  From technology breakthrough to Innovative manufacturing in large area electronics and heterogeneous integrated smart systems to reports about the key manufacturing challenges and selected business cases across the sector, abstracts for presentations are now being accepted (until April 30) for: Technology and Materials; Manufacturing; and Business Cases. Visit www.plastic-electronics.org for more information.

China’s new industry investment and government promotion policies outlined in the recent “National Guidelines for Development and Promotion of the IC Industry” represents major opportunities for China and global semiconductor companies. The details of the policy and its implementation are being closely watched by the global industry for the resources China’s government has dedicated and potential impact to the global semiconductor manufacturing supply chain. During SEMICON China 2015, to be held March 17-19 in Shanghai, SEMI organized Market and Investment forums where key government decision makers, IC fund managers, and global industry analysts will share their insights on the policy and impact to the industry. SEMI expects record numbers of global industry executives to attend the world’s largest microelectronics manufacturing exposition to learn about these semiconductor and emerging/adjacent markets opportunities.

The China “National Guidelines for the Development and Promotion of the IC Industry” sets ambitious targets and sizable support for a China National IC industry investment fund. The combined investment in fabless, IDMs, foundries, and OSATs aims to spur industry at annualized growth rates above 20 percent through 2020. China’s ambitious targets cover: IC manufacturing, IC design, IC packaging and test, materials, and equipment. SEMI estimates the implemented investment plan could reach US$100 billion with the total government and associated local industry funding.

“The rapid development of the semiconductor industry in China has already formed an industry base of domestic enterprises. The unprecedented scale of new industry investment signaled by government plans is likely to further impact the global industry landscape,” said Allen Lu, president of SEMI China. “We are pleased to see significant interest in SEMICON China 2015 as an international gathering — with comprehensive attendance from our industry — to identity the latest business intelligence, global trade prospects, and collaboration opportunities.”

Global companies looking to understand the opportunities, challenges, and risks of China’s investment plans will be participating in events and key forums, including the Semiconductor Market and China Opportunity Forum, Tech Investment Forum-China 2015, Build China’s IC Ecosystem Forum, and China Equipment and Materials Forum. SEMICON China is co-located with FPD China and the LED China Conference, leveraging synergies with these emerging and adjacent markets. Featuring more than 900 exhibitors occupying more than 2,600 booths, SEMICON China is the largest exposition of its kind in China with over 50,000 people expected to attend.

The event will present a comprehensive set of topics through its exhibition, keynote addresses, executive panels, technical and business forums and full-day technology conferences. Grand Opening keynote presenters include: Lisa Su, president and CEO of AMD; Tzu-Yin Chiu, CEO and executive director of SMIC; Xinchao Wang, chairman and CEO of JCET; Simon Yang, president and CEO of XMC; and Michael Hurlston, EVP at Broadcom; and Lei Shi, president of Nantong Fujitsu Microelectronics.

Semiconductor Market and China Opportunity” forum speakers include:

  • Zixue Zhou, chief economist, Ministry of Industry and Information Technology (MIIT); vice chairman and secretary-general, China Information Technology Industry Federation (Zhou is a vice minister-level MIIT official and the chief architect of the new China IC initiatives with setting up of the National IC Fund)
  • Professor Shaojun Wei, director, Institute of Microelectronics, Tsinghua University (Wei is also the leader of the expert group overseeing China’s National Project 01 responsible for growing China’s core competencies in computing and communication). Plus Handel Jones, founder and CEO of IBS; Jim Feldhan, president, Semico Research; and Dan Tracy, senior director, Industry Research and Statistics, SEMI

Tech Investment Forum—China 2015” forum speakers include: Mr. Wenwu Ding, the CEO of the newly formed China National IC Fund (Ding has been a director-general of MIIT in charge of Semiconductor industry); Yongzhi Jiang, managing director of Goldman Sachs Securities in charge of M&A; Lip-Bu Tan, founder and chairman of Walden International and CEO of Cadence; and fund managers from CGP Investment, GM E-town Capital, Summitview Capital and Shenzhen Capital.

Build China’s IC Ecosystem” forum is chaired by Professor Shaojun Wei, with speakers from the complete supply chain from IC design, device makers, to equipment manufacturers. Presenters include executives from Verisilicon, SMIC, XMC, ASMC, JCET, Northern Micro Electronics, and Applied Materials.

China Equipment and Materials Forum” includes speakers from China and global companies: Sevenstar, TEL, ACM Research, and Shanghai Sinyang with a panel discussion moderated by Mr. Tianchun Ye, director of the Institute of Microelectronics, China Academy of Sciences (Mr. Ye is also the leader of expert group of National Project 02 overseeing developing China’s semiconductor manufacturing core-competencies).

This year’s event features six technical conferences: Mobile Technology Enabled by Semiconductors, China Equipment and Materials Forum, Building China’s IC Ecosystem, Advanced Packaging, LED China Conference, and Intelligent Wearable Industry Seminar.  Business programs include: Tech Investment Forum and Semiconductor Market and China Opportunity. Keynote speakers from Intel, IBM, ITRI, University of California, and SMIC will present. ­ FPD China 2015 features special programs on OLED displays, LCD displays, Oxide and LTPS Displays, and Printing Displays and Touch Screens.

China Semiconductor Technology International Conference (CSTIC) is also co-located at SEMICON China. Organized by SEMI and  IEEE-EDS , co-organized by China’s High-Tech Expert Committee (CHTEC), and co-sponsored by ECS, MRS and the China Electronics Materials Industry Association, CSTIC 2015 will cover all aspects of semiconductor technology and manufacturing (more than 300 papers), including devices, design, lithography, integration, materials, processes, and manufacturing, as well as emerging semiconductor technologies and silicon material applications. Hot topics, such as 3D integration, III-V semiconductors, carbon nano-electronics, LEDs, MEMS and Photovoltaic Technology will also be addressed in the conference. (CPTIC 2015 has joined CSTIC 2015 as Symposium XII).

Sponsors of SEMICON China 2015 include: Tokyo Electron, Laytec, SMIC, Huahong Group, JCET, Disco, Edwards, Advantest, Vastity, Shanghai Sinyang, Spirox, and many others.

GLOBALFOUNDRIES, a provider of advanced semiconductor manufacturing technology, today announced a partnership with imec, a nanoelectronics research center, for joint research on future radio architectures and designs for highly integrated mobile devices and IoT applications.

A key challenge for next-generation mobile devices is controlling the cost and footprint of the radio and antenna interface circuitry, which contain all of the components that process a cellular signal across the various supported frequency bands. Today, a typical mobile device must support up to 28 bands for worldwide 2G, 3G, 4G, LTE network connectivity, and more complex carrier aggregation schemes and additional frequency bands are expected for future generations. These challenges are driving the need for an agile radio that integrates many of the separate components into one piece of silicon, including power amplifiers, antenna switches, and tuners and provides a solution which is both flexible and low cost.

GLOBALFOUNDRIES will closely collaborate with technical experts from imec to investigate low-power and compact high-performance agile radio solutions that will enable a broad range of radio architecture design–targeting improvements in area, performance and power consumption. GLOBALFOUNDRIES will also partner with imec to develop innovative ultra-low power IC design solutions leveraging GLOBALFOUNDRIES’ CMOS technology to address the demanding requirements of tomorrow’s IoT devices. Ultimately, the partnership aims to build a technology and design infrastructure that will enable future RF architectures while minimizing critical interface requirements for radio power consumption and performance.

“This collaboration expands our relationship with imec, and we’re eager to leverage their R&D expertise in RF technology to accelerate time-to-volume of designs and deliver leading-edge RF technology to our customers,” said Peter Rabbeni, director RF Segment Marketing at GLOBALFOUNDRIES. “This relationship further reflects our commitment to find RF design implementations that will efficiently extend the range of wireless communication applications without increasing the form factor or cost.”

“There are advanced chip technology challenges the industry needs to address to enable a higher level of integration and lower power consumption for future wireless communication,” said Harmke de Groot, senior director Perceptive Systems for the Internet of Things. “Imec is pleased to welcome GLOBALFOUNDRIES as a partner in ultra-low power wireless design. Leveraging imec’s advanced IC technology knowhow and system design experience, and GLOBALFOUNDRIES’ CMOS technology, we will accelerate the investigation and develop new approaches.”

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced that its top theme for 2015 will be the reactivation of the density benefits of Moore’s Law through eBeam technology. Efforts to educate, collaborate and promote this theme to the photomask and lithography community will include focusing on how new developments in multi-beammask writing and model-based mask data preparation (MB-MDP), coupled with complex inverse lithography technology (ILT), can reverse the trend of rectilinear constraints on mask designs and enable continued density scaling at the 10-nm node and beyond using 193-nm immersion lithography techniques.

In related news, Holon, a leading photomask and wafer metrology provider, and Photronics, a leading semiconductor photomask manufacturer, have joined the eBeam Initiative. “We are very pleased to welcome Holon and Photronics as new members to our eBeam community, and look forward to adding their unique perspectives, collaboration and industry leadership in support of the Initiative’s educational goals,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. Industry luminary Dr. Chris Progler, chief technology officer and strategic planning at Photronics, will provide his perspective on the 10-nm logic node at the eBeam Initiative’s annual luncheon event taking place next week at the SPIE Advanced Lithography Conference in San Jose, Calif.

Among other developments, the eBeam Initiative will continue to publish its Fine Line Video Journal, which offers unique insights into emerging industry developments that are shaping the wider eBeam technology ecosystem for advanced photomask and semiconductor manufacturing. A video interview with Colin Harris, founder and chief operating officer of PMC-Sierra, on the rising density benefit gap in Moore’s Law from a fabless semiconductor perspective, has been pre-released and is available for download at www.ebeaminitiative.org/. Aki Fujimura will highlight this theme in his opening address at the eBeam Initiative luncheon event at the SPIE Advanced Lithography Conference.

“As Colin Harris has stated, while the performance per watt aspect of Moore’s Law has held true, we have reached a point with traditional rules-based designs where the rules are so conservative and the implementation costs are so high that the semiconductor industry has started to lose the economic benefits of scaling to smaller design nodes for system-on-chip (SOC) designs,” stated Fujimura. “A simulation-based approach combining complex ILT, MB-MDP and existing variable shaped beam (VSB) mask writers in parallel with the impending emergence of multi-beam mask writing are providing platforms to enable the semiconductor industry to reverse this trend and reactivate the density benefits associated with Moore’s Law. This is truly an exciting time to be a part of the eBeam ecosystem to help take part in our community’s contributions to Moore’s Law.”

Colin Harris’ video along with additional video interviews will be included in the Spring 2015 edition of the Fine Line Video Journal, which will be posted on the eBeam Initiative website on March 16.

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies.

Dr. Zhihong LiuBy Dr. Zhihong Liu, Executive Chairman, ProPlus Design Solutions, Inc.

It wasn’t all that long ago when nano-scale was the term the semiconductor industry used to describe small transistor sizes to indicate technological advancement. Today, with Moore’s Law slowing down at sub-28nm, the term more often heard is giga-scale due to a leap forward in complexity challenges caused in large measure by the massive amounts of big data now part of all chip design.

Nano-scale technological advancement has enabled giga-sized applications for more varieties of technology platforms, including the most popular mobile, IoT and wearable devices. EDA tools must respond to such a trend. On one side, accurately modeling nano-scale devices, including complex physical effects due to small geometry sizes and complicated device structures, has increased in importance and difficulties. Designers now demand more from foundries and have higher standards for PDK and model accuracies. They need to have a deep understanding of the process platform in order to  make their chip or IP competitive.

On the other side, giga-scale designs require accurate tools to handle increasing design size. The small supply voltage associated with technology advancement and low-power applications, and the impact of various process variation effects, have reduced available design margins. Furthermore, the big circuit size has made the design sensitive to small leakage current and small noise margin. Accuracy will soon become the bottleneck for giga-scale designs.

However, traditional design tools for big designs, such as FastSPICE for simulation and verification, mostly trade-off accuracy for capacity and performance. One particular example will be the need for accurate memory design, e.g., large instance memory characterization, or full-chip timing and power verification. Because embedded memory may occupy more than 50 percent of chip die area, it will have a significant impact on chip performance and power. For advanced designs, power or timing characterization and verification require much higher accuracy than what FastSPICE can offer –– 5 percent or less errors compared to golden SPICE.

To meet the giga-scale challenges outlined above, the next-generation circuit simulator must offer the high accuracy of a traditional SPICE simulator, and have similar capacity and performance advantages of a FastSPICE simulator. New entrants into the giga-scale SPICE simulation market readily handle the latest process technologies, such as 16/14nm FinFET, which adds further challenges to capacity and accuracy.

One giga-scale SPICE simulator can cover small and large block simulations, characterization, or full-chip verifications, with a pure SPICE engine that guarantees accuracy, and eliminates inconsistencies in the traditional design flow.  It can be used as the golden reference for FastSPICE applications, or directly replace FastSPICE for memory designs.

The giga-scale era in chip design is here and giga-scale SPICE simulators are commercially available to meet the need.

Worldwide silicon wafer area shipments increased 11 percent in 2014 when compared to 2013 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its year-end analysis of the silicon wafer industry. However, worldwide silicon revenues increased by just 1 percent in 2014 compared to 2013.

Silicon wafer area shipments in 2014 totaled 10,098 million square inches (MSI), up from the 9,067 million square inches shipped during 2013. The previous market high for silicon area shipments was 9,370 MSI in 2010. Revenues totaled $7.6 billion slightly up from $7.5 billion posted in 2013, yet 2014 silicon revenues remain 37 percent below their peak set in 2007. “After three consecutive flat years, annual semiconductor silicon shipment levels achieved respectable growth last year to reach a market high,” said Hisashi Katahama, chairman of SEMI SMG and director, Technology of SUMCO Corporation. ”However, industry revenues did not experience the same magnitude of recovery.”

Annual Silicon* Industry Trends

2007

2008

2009

2010

2011

2012

2013

2014

Area Shipments (MSI) 8,661 8,137 6,707 9,370 9,043 9,031 9,067 10,098
Revenues ($B) 12.1 11.4 6.7 9.7 9.9 8.7 7.5 7.6

 

*Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

SEMI, the global trade organization representing the nano- and micro-electronic manufacturing supply chains, lauds the significant breakthrough in U.S. export restrictions for semiconductor equipment producers. This week, after a four-month investigation, the U.S. Department of Commerce declared the export controls on certain etch equipment and technology ineffective, thereby removing a cumbersome and onerous impediment to efficient trade.

With this positive ruling, SEMI (www.semi.org) will now work with U.S. officials to decontrol etch equipment and technology at the international level, pushing for removal from the multilateral export control regime known as the Wassenaar Arrangement (WA) — the governing body consisting of 41 participating states, including the U.S.  While pushing for WA removal, SEMI will also work in the interim to attain a license exception for these products in order to immediately grant U.S. companies this free export status.

“SEMI stands for free trade and open markets to support the development and success of the global semiconductor manufacturing industry supply chain,” said Denny McGuirk, president and CEO of SEMI. “We applaud the decontrol of semiconductor etch equipment as a rational response to current technology, trade, and commercial realities. This is a win for both equipment makers and their customers operating in the global market.”

On July 16, following years of discussion and negotiations, SEMI submitted a formal petition for the Commerce Department’s Bureau of Industry and Security (BIS) to examine the foreign availably of anisotropic plasma dry etching equipment controlled for national security reasons under Export Control Classification Number (ECCN) 3B001.c on the Commerce Control List. Having identified an indigenous Chinese manufacturing capability, SEMI supplied BIS with in-depth analysis in order to assist in their determination. The examination, known as a Foreign Availability Assessment (FAA), was formally initiated by BIS on September 8 as the first of its kind in more than 20 years.

The FAA culminated in today’s determination, which validates the U.S. support for the decontrol of etch products. Prior to this, U.S. companies faced an uneven playing field in the market, competing with foreign companies that operate without the burdensome regulations and processes imposed by the U.S. Commerce Department.  The recognition of comparable products overseas negates the national security constraints that have vexed U.S. industry for many years.

SEMI collaborated with an international group of public policy and trade professionals from member companies in this effort, including Chinese etch equipment maker AMEC, whose cooperation helped validate the foreign availability assertion.

“The Commerce Department’s decision to remove export control restrictions for etch equipment is a big victory for the U.S. semiconductor equipment sector and our customers around the world,” said Randhir Thakur, executive vice president and general manager of the Silicon Systems Group at Applied Materials. “Recognizing the availability of these tools will help fuel growth and promote the success of the global industry supply chain.”

For more information, you may review the Commerce Department’s notice in the U.S. Federal Register at:  https://www.federalregister.gov/articles/2015/02/09/2015-02681/foreign-availability-determination-anisotropic-plasma-dry-etching-equipment

Power electronics based on gallium nitride (GaN) and silicon carbide (SiC) have the potential to significantly improve efficiency. But since these materials are higher-cost, companies need market-specific strategies in order to succeed as these new wide-bandgap (WBG) materials claim market share from silicon-based semiconductors, according to Lux Research.

Carmakers would succeed by playing the role of an “integrator,” by vertically integrating upstream in the value chain to power modules, while a GaN or SiC developer would do well to pursue a “technology disruptor” strategy, offering core technology expertise to solar inverter makers and incumbent system integrators like ABB.

“Fast-growing markets like automotive and solar inverters are unforgiving when it comes to players without strong business and strategy,” said Pallavi Madakasira, Lux Research Analyst and the lead author of the report titled, “Strategic Playbook for Power Electronics: Lessons from the IC Sector Evolution.”

“Start-ups trying to address these opportunities need to forge partnerships and collaborations — companies like Transphorm and GaN Systems that have done so are best-positioned for success,” she added.

Lux Research analysts evaluated the value chain in GaN and SiC power electronics to identify strategies for the automotive and solar inverter market. Among their findings:

  • Integrators face low risk. Carmakers could integrate upstream through acquisition to include power modules and inverter/converter manufacturing. Such acquisitions will allow carmakers to own drivetrain design and lower overall costs.
  • Tech differentiation is critical. A vertically integrated GaN/SiC device or module player is well-positioned in the solar value chain. The core technology differentiation that such a company offers will be critical for incumbent solar inverter makers like SMA and Fronius, and something system integrators like ABB do not have the competencies for.
  • Other players need to be nimble. Suppliers of substrates, packaging materials and thermal materials will need to customize. Staying nimble and planning for an increased number of specialized device makers in the power electronics value chain will be critical to their overall success.

The report, titled “Strategic Playbook for Power Electronics: Lessons from the IC Sector Evolution,” is part of the Lux Research Energy Electronics Intelligence service.

Mentor Graphics Corporation today announced the embedded systems industry’s broadest portfolio for industrial automation. In partnership with key industry vendors, Mentor Graphics now offers a solution differentiated from other marketplace products by its unique multi-platform approach and robust security architecture. Mentor Graphics new Mentor Embedded multi-platform solution enables embedded product developers to create more feature-rich, power-efficient, safe and secure systems. It encompasses a breadth of runtime platform options, customized development tools, system partitioning, power management, safety certification and system characterization tools, plus market-leading multicore support, making this the broadest solution in the industry.

The Mentor Embedded industrial automation solution includes:

  • The Nucleus real-time operating system (RTOS) with advanced power management and optional IEC 61508 safety certification and Wurldtech Achilles communications certification
  • The Mentor Embedded Linux platform with integrated industrial protocols, SELinux mandatory access control support, and Sourcery CodeBench Professional toolsuite
  • Type-1 hypervisor technology for partitioning and separation
  • Advanced Sourcery Analyzer tool for advanced debug and system characterization
  • Qt graphics optimized for embedded automation controller user interface (UI) applications
  • Customized open source toolchains to optimize hardware components, code footprint, and application performance

The Mentor Embedded solution provides developers with integrated and tested capabilities and features that enable equipment manufacturers to focus on strategic competitive differentiation across the spectrum of industrial devices (industrial controllers, process automation controllers, PLCs, data acquisition devices, and motor driver controllers, along with motion, vision, and SCADA systems).  This enables convergence of the product features and capabilities necessary to increase profitability by minimizing footprint (floor space), reducing power usage (electricity costs), and decreasing downtime (security vulnerabilities).

“Our goal is to provide our process-driven customers with three of the most important things they need to secure their futures: operational integrity, which keeps their plants up and running; operational insight, which provides the knowledge and applications they need to run their plants safely and profitably; and future-proof technology, which provides the agility they need to respond quickly to changing conditions and new business opportunities,” said Andrew Kling, Director of Technology and Process at Schneider Electric.

The solution offers support for advanced homogeneous and heterogeneous multicore System-on-Chip (SoC) architectures integrated with runtime operating platforms and tools to allow manufacturers to reuse existing IP (legacy applications) while taking advantage of leading-edge, power-efficient multicore devices. The key industrial automation partners include Icon Labs for critical security management components that enable end-to-end security, and Softing AG who provides a breadth of industrial connectivity options including OPC-UA, Ethernet/IP, and more.

“Securing critical infrastructure has been a top priority for many businesses and governments, and now with the rapid growth of the Internet of Things (IoT), the challenges will increase exponentially,” stated Alan Grau, founder and CEO of Icon Labs. “We have worked closely with Mentor Graphics to move past the legacy concept of securing the embedded device perimeter, to protecting the embedded device itself. This device protection, data protection, and advanced management and reporting capabilities provide the necessary level of protection from both external and internal threats.”

The Mentor Embedded industrial automation solution was developed to address the growing challenges of building, extending, and maintaining embedded hardware and software for a variety of industrial automation products. It provides a new way to integrate legacy applications, new technologies, comprehensive security architecture, and the latest multicore processors on the same industrial device.

“Mentor Graphics continually advances its market-leading embedded software technologies powered by Freescale devices such as i.MX applications processors and QorIQ multicore processors based on Layerscape architecture, to create a complete ecosystem to ease embedded systems development,” stated Alex Dopplinger, industrial business development manager, Freescale. “Mentor’s unique and comprehensive security framework helps manage the complexities of multicore heterogeneous systems needed for today’s secure industrial automation applications.”