Tag Archives: letter-wafer-business

Micron Technology, Inc. and TechInsights today announced that Micron has been honored with both the Most Innovative Memory Device and Semiconductor of the Year awards for their 16nm NAND Flash memory technology in TechInsights’ 11th Annual Insight Awards.

TechInsights, a technology and IP consulting firm, conducted extensive research and analysis on multiple semiconductor designs. They chose to recognize Micron’s industry-leading 16nm NAND Flash memory for overcoming the major scaling challenges currently facing Flash technology. Micron’s 16nm NAND Flash enables the high-capacity, small form factor Flash storage solutions that are in demand in consumer and data center applications for their ability to increase performance and power efficiency. Micron’s 16nm NAND Flash is currently in full production and is the foundation for one of the most popular solid state drives (SSDs) in the market today.

“Advancing process technology is critical to meeting our customers’ demands for smaller and faster storage solutions,” said Scott DeBoer, Micron’s vice president of research and development. “We are honored to be recognized by such a renowned firm and are proud of our engineering team’s success keeping Micron at the forefront of solid state storage technology.”

“This latest engineering innovation from Micron stood out from competition for the impact it has made in today’s fast growing Flash-based storage industry,” said Jason Abt, VP of Technical Services at TechInsights. “Micron’s 16nm NAND Flash memory technology pushed the boundary of Flash storage density by overcoming the scaling challenges with current lithography.”

The Insight Awards recognize achievements in the semiconductor and electronics industry and are one of the most highly regarded awards for technical innovation at the semiconductor level. Winners are chosen independently from a judging panel consisting of senior analysts and engineers to ensure that devices submitted for consideration are evaluated on the merits of their design and technical achievements.

SEMI today announced the launch of the association’s first-ever event in Latin America. The inaugural SEMI South America Semiconductor Strategy Summit will be held November 18-20, 2014, at the Hilton Buenos Aires in Buenos Aires, Argentina. Argentina-based Unitec Blue and the Brazil Development Bank BNDES are supporting the event.

The growing strength of Latin American markets is driving interest and investment in electronics manufacturing in South America. Device manufacturers, including Unitec Blue in Argentina, and SIX Semicondutores and CEITEC in Brazil, are established and planning new investments in front- and back-end manufacturing capabilities. With the continued globalization of the microelectronics industry, and localization of manufacturing capabilities within growing electronic markets, the South American market presents new opportunities for supply chain companies.

“We are pleased to announce this new project and excited by the opportunities in Latin America for our members,” said Bettina Weiss, vice president of business development for SEMI. “We are especially grateful to Unitec Blue and BNDES for their support of this inaugural event, as it shows the clear intent of the device maker community in South America to attract new investment and drive industry expansion in the region.”

The three-day event includes a delegation tour of the Unitec Blue facilities in Buenos Aires, and a full two-day conference featuring presentations and panel discussions from industry leaders, analysts, and government representatives. The conference will provide overviews of the current industry environment in South America, address the challenges and opportunities for supply chain companies in the region, and explore the next steps in building the region’s microelectronics industry infrastructure.

The SEMI South America Semiconductor Strategy Summit follows the successful launch of a similar event in Vietnam last year, which was the first SEMI venture in that emerging market. “By taking small, but significant steps in new and emerging markets, SEMI is strategically working to open doors for our members to help them explore new opportunities when markets emerge,” said Weiss. “Events like the SEMI South America Semiconductor Strategy Summit bring together global and regional industry leaders and helps foster the connections and relationships that hopefully lead to business and market growth.”

Registration for the SEMI South America Semiconductor Strategy Summit costs US$ 350. Registration, agenda, and sponsorship information is available online at www.semi.org/southamerica.

By Jeff Dorsch

The worldwide semiconductor capital equipment market is forecast to increase 20.8 percent this year to $38.44 billion, compared with 2013’s $31.82 billion, and another 10.8 percent in 2015 to $42.6 billion, according to Semiconductor Equipment and Materials International.

Also on Monday, the Semiconductor Industry Association reported that global sales of semiconductors were $26.86 billion in May, an 8.8 percent increase from a year earlier and a 2 percent improvement from April of this year.

Jonathan Davis, SEMI’s global vice president of advocacy, said Monday that the semiconductor industry is seen growing 5 percent to 10 percent in 2014, and noted that all world regions posted growth in sales during May, a statistical factor not recorded since August 2010.

Discussing expenditures on capital equipment, Davis said, “The nature of the spending is changing.” The number of new wafer fabs has dwindled in recent years, and more spending is directed these days to upgrading existing fabs.

2015 promises to be the biggest year for semiconductor equipment spending since 2000, Davis said. While the equipment market is growing more than 20 percent this year, the semiconductor materials market will see more modest growth in 2014, at 6 percent, he added.

Karen Savala, the president of SEMI Americas, reviewed economic and technology trends in the equipment and materials business during Monday’s SEMI press conference. The industry has gone through “one of the largest consolidation periods in our history,” including the pending blockbuster merger between Applied Materials and Tokyo Electron Ltd. (TEL), she noted.

The longstanding economics of Moore’s Law is being challenged, she added. The Internet of Things is a tremendous opportunity for the chip-making business, yet it doesn’t involve leading-edge technology, Savala said. “Traditional node scaling seems to be slowing,” she observed. Scaling is apparently decelerating below the 32-nanometer process node, according to Savala, but it may be advanced with the introduction of new materials, new substrates, and 2.5D/3D packaging.

“The ecosystem is changing,” Savala said.

SEMI now forecasts that wafer processing equipment will grow 22.7 percent in 2014 to $31.12 billion, from $25.36 billion in 2013, and advance 11.9 percent more in 2015 to $34.81 billion. Test equipment is expected to see a 12.5 percent increase this year to $3.06 billion and pick up by 1.6 percent next year to $3.11 billion. Assembly and packaging equipment is forecast to reach $2.52 billion in 2014, an 8.6 percent improvement from last year, and growing 1.2% in 2015 to $2.55 billion. Other equipment categories will be up 22.5 percent this year to $1.74 billion and up 21.8 percent next year to $2.12 billion.

All global regions except one, the rest of the world, are forecast to post increased sales in 2014, according to SEMI. Taiwan will remain the largest region with $11.57 billion in equipment sales this year, up 11.57 percent from 2013, while higher growth rates will be seen in China, North America, South Korea, Japan, and Europe. All regions are expected to show growth in 2015, ranging from 1.6 percent in China up to 47.8 percent in Europe.

SEMI 2014 mid-year equipment forecast.

SEMI 2014 mid-year equipment forecast.

SEMATECH and the newly merged SUNY College of Nanoscale Science and Engineering (CNSE) / SUNY Institute of Technology (SUNYIT) today jointly announce the creation of the Chemical Mechanical Planarization (CMP) Center, based at the Albany Nanotech Complex. The Center aims to accelerate the development of next generation CMP technology, and to drive improvement in the yield and cost of ownership of CMP processes.

“In support of Governor Andrew Cuomo’s commitment to New York’s global leadership in developing next generation technologies, we are excited to partner with SEMATECH to develop technology for the manufacturing of future nanoelectronics devices,” said Christopher Borst, Associate Vice President for G450C Technical Operations and Associate Professor of Nanoengineering at the newly merged CNSE/SUNYIT. “Through access to the newly merged CNSE/SUNYIT’s advanced fabrication facilities, we will enable a center of excellence with world-class capabilities for development of leading-edge process solutions for future generation technologies.”

“The CMP Center is an important part of our strategy to provide our members with the critical capabilities needed to accelerate process maturity for end users,” said Edward Barth Director of Growth Initiatives at SEMATECH. “In addition to SEMATECH’s network of consortium members, the newly merged CNSE/SUNYIT’s leadership in providing state-of-the-art process and metrology toolsets will enable the development of new materials and CMP processes in further scaling of IC devices.”

As semiconductor dimensions are scaled, advances in test structures and process flows are critical for accelerating process development to support industry needs.  The new planarization center, a vital component of the newly merged CNSE/SUNYIT and SEMATECH’s process technology efforts, will serve as a technology test-bed that will enable participating companies to develop, demonstrate, integrate and qualify advanced CMP technologies for the semiconductor industry.

“The CMP community have been asking for this and the newly merged CNSE/SUNYIT and SEMATECH have responded loud and clear,” said Frank Tolic, Associate Vice President for Business, Wafer Processing of the newly merged CNSE/SUNYIT.  “Leveraging our combined strengths creates the next generation of cost effective test vehicles, test wafers, and industry know-how that will lead the CMP community successfully through the next technology generations.”

“This collaborative venture incorporates the newly merged CNSE/SUNYIT’s and SEMATECH’s joint technical expertise and industry vision, with CNSE/SUNYIT’s state-of-the-art equipment,” said Satyavolu Papa Rao, Director of Process Technology, SEMATECH. “The entire CMP ecosystem, including consumable suppliers, tool and metrology vendors, and end users such as IDMs, foundries and fabless companies, can partner to establish industry standard test structures and develop solutions to meet the needs of sub-20 nm technology nodes.”

The new CMP Center, along with other Centers being established, will create cross-center synergies to drive technical excellence and reduce the overall cost of ownership in the development of next-generation technology.

Lam Research Corp., a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today announced the addition of atomic layer etch (ALE) to its portfolio of atomic layer deposition (ALD) products. The new production-worthy ALE capability on the 2300 Kiyo F Series conductor etch system delivers atomic-scale variability control to enable next-generation wafer processing. Together with Lam’s VECTOR ALD Oxide product for dielectric film ALD and ALTUS systems for tungsten metal film ALD, these products support the industry’s shift toward manufacturing chips at the atomic scale, where every atom matters.

As feature sizes continue to shrink and new device architectures are introduced, controlling process variability in manufacturing becomes much more challenging. Looking ahead to next-generation requirements, feature dimensions will soon have tolerances that are on the order of a few atoms. At the same time, device aspect ratios continue to increase, and topographies are becoming even more complicated. For the most advanced structures, conventional plasma etch and deposition processes are unable to meet these requirements, and new approaches are needed. ALE and ALD provide a solution by using cycles of multi-step processes that deposit or remove a few atomic layers at a time, thereby delivering precise control. The challenge is to deliver sufficient productivity to make these processes suitable for increasingly cost-sensitive manufacturing environments.

Lam’s new ALE capability on the 2300 Kiyo F Series conductor etch system provides both the productivity and technology needed. The product leverages fast gas switching and advanced plasma techniques in the reactor to boost throughput, while dynamic RF bias enables the directional etching required to remove material in high aspect ratio (deep and narrow) features. As the latest offering in Lam’s market-leading Kiyo family, the 2300 Kiyo F Series system continues to provide superior uniformity and repeatability enabled by a symmetrical chamber design, advanced electrostatic chuck technology, and independent process tuning features.

The recently announced VECTOR ALD Oxide system provides dielectric films used for the liners and spacers needed in FinFET structures and through-silicon vias (TSVs), as well as for spacers in multiple patterning schemes. The product reduces variability through atomic-scale control and deposits highly conformal ALD films, even for challenging high aspect ratio features. The VECTOR ALD Oxide system also provides overall cost of ownership benefits with productivity that is comparable to or better than batch furnaces, along with the cycle-time benefits of single-wafer processing.

Lam’s ALTUS product family is the benchmark for tungsten film production and has been in use for a number of years for the atomic-layer deposition of tungsten and tungsten-nitride metals. Proprietary deposition technology and system architecture enable the formation of highly conformal barrier and metal films that offer low resistivity. In addition, the ALTUS ALD process is able to completely fill the re-entrant structures that are commonly found in advanced memory and logic applications.

“As the industry continues to aggressively scale device dimensions, new methods of manufacturing are needed — particularly for the etch and deposition processes that will create those features,” said Dave Hemker, senior vice president and chief technology officer at Lam Research. “Through continuous innovation, we are meeting those needs with new atomic-scale processing capabilities that provide chipmakers with advanced technology, process control, and productivity that enable next-generation device manufacturing.”

Semiconductor Manufacturing International Corporation and Qualcomm Incorporated, have announced that SMIC and Qualcomm Technologies are working together in connection with 28nm process technology and wafer manufacturing services in China to manufacture Qualcomm Snapdragon processors. Qualcomm Technologies’ Snapdragon processors are purpose built for mobile devices. SMIC is one of China’s largest and most advanced semiconductor foundries, and Qualcomm Technologies is one of the world’s largest fabless semiconductor vendors and a world leader in 3G, 4G and next-generation wireless technologies. This collaboration will help accelerate SMIC’s 28nm process maturity and capacity, and will also make SMIC one of the first semiconductor foundries in China to offer production locally for some of Qualcomm Technologies’ latest Snapdragon processors on 28nm node, both PolySiON (PS) and high-K dielectrics metal gate (HKMG).

Previously, SMIC has supported Qualcomm Technologies on power management, wireless and connectivity related IC products at various process nodes. With this new collaboration involving 28nm technology and wafer manufacturing services, SMIC is further strengthening its strategic relationship with Qualcomm Technologies. SMIC will work with Qualcomm Technologies in bringing new 28nm design-ins and products for the growing mobile communication industry. Going forward, SMIC will also extend its technology offerings on 3DIC and RF front-end wafer manufacturing in support of Qualcomm Technologies as its Snapdragon product portfolio continues to expand.

“We are delighted to enter this collaboration with Qualcomm Technologies since this marks a significant milestone on the readiness and competitiveness of SMIC’s 28nm process technologies,” said Dr. Tzu-Yin Chiu, chief executive officer and executive director, SMIC. “This step forward demonstrates SMIC’s capabilities and commitments on bringing up the needed advanced node technologies for addressing customers’ demands and product roadmaps. With Qualcomm Technologies’ support, we are confident that our 28nm technologies will become one of the most important growth drivers for the company. We expect that the 28nm product life cycle longevity will exceed previous nodes, which will help better position SMIC to service the needs of Qualcomm Technologies, as well as others.”

“SMIC is an important supplier to Qualcomm Technologies, and we are pleased to be working with SMIC, whose capabilities and technology offerings are growing to meet our demanding product needs,” said Murthy Renduchintala, executive vice president, Qualcomm Technologies, Inc., and co-president, QCT. “We look forward to working with SMIC on bringing up its 28nm production in China and executing on our regional supply chain strategy. With SMIC becoming a more important supplier in our global operations, this collaboration will help further our manufacturing footprint and services in China, one of the world’s largest mobile consumer opportunities.”

Berger Pierre-DamienBy Pierre-Damien Berger, VP Business Development & Communication; CEA-Leti

Whatever forecast one uses for the future of the Internet of Things in terms of connected objects or business opportunities, the IoT will be big. Citing industry sources during of “The Internet of Things: from sensors to zero power,” the recent LetiDays conference in Grenoble, France, speakers offered projections venturing up to 50 billion connected objects by 2020.

Jacques Husser, COO of SIGFOX, said the IoT is the next major technological revolution, and that connecting billions or trillions of devices and enabling them to communicate with each other and will require more than high bandwidth. While increasing bandwidth is a key focus for multi-media and voice data network operators, for IoT companies reducing energy consumption and costs are key to handling the continuous volume of small messages from all those things.

SIGFOX, whose network is dedicated to the IoT, provides power-efficient, two-way wireless connectivity for IoT and machine-to-machine communications. Husser said the company’s technology is compatible with existing chipsets from vendors such as Texas Instruments, STMicroelectronics, Silicon Labs, Atmel, NXP and Semtech. Husser said that while SIGFOX’s technology complements 2G, 3G and 4G systems, it does not require a SIM card. Devices’ IP addresses are established during manufacturing.

The company, which has networks operating or in rollout with partners in several countries and major cities, is enabling applications for building and vehicle security, indoor climate monitoring, pet tracking, smart-city apps for parking and lighting management, asset management including billboard monitoring, water utility metering, and health-care apps like fall detection, distress signaling and medicine dispensing. Many more are expected.

Leti’s RF design and antenna expertise were used to help connect SIGFOX’s cellular networks. In addition, Leti is working with other startups and SMEs to develop and connect smart functions in a variety of products that will use the IoT to communicate. Primo1D was spun out of Leti in 2013 to produce E-Thread®, an innovative microelectronic packaging technology that embeds LEDs, RFIDs or sensors in fabric and materials for integration in textiles and plastics using standard production tools.

Leti startup BeSpoon recently launched SpoonPhone, a smartphone equipped with the capability to locate tagged items within a few centimeters’ accuracy. The capability is enabled by an impulse radio ultra-wideband (IR-UWB) integrated circuit developed by Leti and BeSpoon. Leti and Cityzen Sciences, the award-winning designer and developer of smart-sensing products, have begun a project to take the company’s technology to the next level by integrating micro-sensors in textiles during the weaving stage.

Leti and CORIMA, a leading supplier of carbon-composite wheels and frames for track and road-racing cyclists, are developing an integrated sensor system to measure the power output of riders as they pedal.

Citing research by Morgan Stanley Research, Leti’s telecommunications department head Dominique Noguet noted that worldwide shipments of smartphones and tablets exceeded shipments of desktop and notebook PCs for the first time in 2011. This signaled that the web has gone mobile, a fact underscored by a Cisco forecast that M2M mobile data traffic will increase 24x from 24 petabytes per month in 2012 to 563 petabytes in 2017.

Noguet said the IoT growth will present scaling challenges and require new communication protocols for sporadic, asynchronous, decentralized, low-power traffic. In addition to harvesting, or scavenging, energy to assure continuous connectivity, there will be demand for technologies that enable spectrum scavenging in unlicensed spectra, for example, and that use new bands, such as millimeter wave, white spaces and even light.

Leti has numerous ways to support development of the IoT, ranging from embedding antennas in specific materials through characterization and design, to implementing full-blown custom radio technologies. The inclusion of UHF RFID tags for the tire industry was cited as a first example where read/write range performances were a challenge. Leti’s ultra-wideband localization technology is another example where competence in signal processing, real-time design, antenna technology and mixed RF/digital ASIC design was combined to provide a complete solution where no off-the-shelf approach was available.

Noguet also noted potential threats to IoT security, and cited Leti’s involvement in the Santander, Spain, smart city project, which includes experimental advanced research on IoT technologies. Leti and CEA-List were in charge of securing access to the SmartSantander infrastructure and communications over a wireless sensor network. This included ensuring the security of the transactions and protecting users’ privacy.

Nanolab Technologies, Inc. announced today that it has acquired Microtech Laboratories, LLC a well-established Dallas, Texas, failure analysis laboratory founded in 1999.  Microtech Laboratories provides electrical and physical failure analysis to the semiconductor industry as well as extensive reverse engineering work to the legal industry.

The acquisition continues the rapid growth path set by Nanolab Technologies, Inc., which opened its new, purpose built, analytical services laboratory in Silicon Valley in 2011, a second lab operation at the Center for Nanoscale Science and Engineering (CNSE) in Albany, NY in 2011 and acquired Silicon Valley based FIB Lab, Inc. in 2013.

Since its inception Nanolab continues to invest heavily in new instrumentation for its existing advanced electron microscopy and materials analysis businesses. The company recently identified device failure analysis (FA) as an underserved market and as part of its overall growth strategy has expanded its capabilities through the acquisition of new, advanced instruments.

The company also sees opportunities for further consolidation in the space that will be driven by the cost of new instruments necessary to stay relevant in support of its customers’ transition to current and future technology nodes.

Microtech will continue its operations in Plano, Texas where it provides services to a broad array of analog, digital, mixed-signal, MEMS and RF customers.  Nanolab Technologies, Inc. will acquire all equipment assets of the company and also hire all of its employees.

“This acquisition is the next step in our company’s plan to accelerate growth in key market sectors, leveraging the investments that we have made since 2007 in leading-edge analytical instruments and laboratory facilities,” stated John P. Traub, Nanolab Technologies, Inc., President and CEO. “Strategic acquisitions will complement our current expansion plans for our Silicon Valley and Albany, NY, lab operations.”

Microtech Laboratories President, John Olson, commented, “I am excited to add Nanolab’s failure analysis and advanced material analysis services to our capabilities.  The combined operations of both companies broaden our portfolio of leading edge electrical and physical analysis services.  This also broadens our geographic reach and customer support with complimentary facilities in California, Texas and New York.”

The 2013 market for semiconductor silicon wafers totaled $7.5B, down 16 percent from 2012, according to a new report from Techcet Group, “Silicon Wafers for Semiconductor Device Processing 2014, a Techcet Group Critical Materials Report.” The 2014 outlook is for one percent growth to $7.7B. The silicon wafer market is expected to grow to $8.7B by 2015, according to Techcet’s forecast. The current outlook is positive for 2H14 as compared with 1Q14, with growth anticipated in all wafer diameters and all major market segments.

Although shipments of silicon by area recovered after 2009, prices have not recovered to 2008 levels. If the demand projections hold, silicon producers will pursue price increases in 2015 for the first time since 2011.

Silicon wafer purchases represent the highest operating expense for many device manufacturers and as a result are a constant target for price reduction. With the continued concentration of the semiconductor manufacturing base to a few large players, silicon producers have little choice but to succumb to the price pressure. As a result, ASP for wafers has declined steadily since 2011 with -14 percent and -16 percent in 2012 and 2013 respectively. Over the same period, the silicon market has experienced a steady increase in silicon area shipped at a CAGR of 3-6 percent. While overall silicon shipments have been relatively flat since the 2010 recovery, the change from quarter to quarter can exceed 10 percent. We anticipate this volatility to smooth as supply tightens with the growth expected in 2015.

The top five silicon wafer producers account for roughly 90 percent of bulk wafers sales. Shin Etsu Handotai (S.E.H.) and SUMCO account for over 60 percent of volume and sales among the two of them at 32 percent and 29 percent respectively. Each of the silicon producers have sufficient capacity to meet current silicon demand and are poised for growth. Soitec dominates the SOI wafer market with 60 percent share, dwarfing the market share of others. Silicon on Insulator substrates will become more significant in the supply chain as semiconductor manufacturers adopt this substrate to combat the high costs and yield challenges of 28nm and FinFET device nodes.

Techcet Group, LLC specializes in technical trend analysis and market analysis for the semiconductor, silicon, PV and related electronics industries. The company has been responsible for producing the International Sematech Critical Material Reports since 2001.

Beyond all of the hype and tumult, market drivers and technological developments are converging to ensure a bright future for Si photonics. Indeed, though the Si photonics market has just kicked off, volume production is already close.

“In the short term, silicon photonics will be the platform solution for future high-power, high- bandwidth data centers,” explains Dr Eric Mounier, Senior Technology & Market Analyst, MEMS Devices & Technologies, at Yole Développement.

Si Photonics report, 2014 update, from Yole Développement is now available. Under this report, Yole Développement analysts have been interacting with Jean-Louis Malinge, former Kotura CEO, to provide a comprehensive analysis of the Si Photonics current & future business trends and associated challenges.

Yole Développement’s report describes industrial status and supply chain for silicon photonic technologies. This analysis includes an overview of R&D, tools, materials, devices, system players’ activities and a detailed description of silicon photonics applications.

The aim of Silicon Photonics 2014 report is to provide a deep understanding of current key market and technical challenges and detail the major moves, transactions and mindsets of silicon photonics players.

Screen Shot 2014-06-25 at 9.35.14 AM

Silicon photonics is an exciting field that mixes optics, CMOS, MEMS and 3D stacking technologies. Over the past several years, it’s become clear that some technical choices will be better than others for successful commercial development:

      Light source is a big integration challenge. As silicon laser is probably years away from realization, the different approaches are likely to be either attached laser (i.e. Luxtera) or (InP) wafer-to-wafer/die-to-wafer bonding, followed by post-processing (i.e. Intel or Leti).

Yole Développement has also seen a shift from monolithic integration for electronic/photonic-to-hybrid integration, since critical dimensions are very different. Today, the favored approach seems to be two-chip hybrid integration (the Cu-pillar from STMicroelectronics, for example), since semiconductors’ and photonics’ critical dimensions are likely to be at least one order of magnitude different.

The fiber choice: multi-mode versus single mode is also on the table.

“Silicon photonics is a business opportunity for different player types: OSATs, MEMS firms, semiconductors companies, etc., because it involves different challenges for packaging, optical alignment and electronics integration. The need for very diverse technologies creates a need for different packaging/micro-machining/manufacturing approaches,” explains Claire Troadec, Technology & Market Analyst, Semiconductor Manufacturing, Yole Développement.

According to Yole Développement, the silicon photonics device market, including HPC, future-generation optical data centers, telecom and others applications (sensors, medical and consumer) will grow from around US$25M in 2013 to more than US$700M in 2024, at a 38% CAGR. In 2018, emerging optical data centers from big Internet companies (Google, Facebook, etc.) will trigger this market growth.Non-datacom/telecom will only have a small portion of market value since these applications are still far from market maturity.

“However, we’re at a turning point where the market is increasing again and Intel, which is very active in this field, could contribute to a quick ramp-up of Si photonics,” Claire adds.