Tag Archives: letter-wafer-tech

For the first time, physicists have developed a technique that can peer deep beneath the surface of a material to identify the energies and momenta of electrons there.

The energy and momentum of these electrons, known as a material’s “band structure,” are key properties that describe how electrons move through a material. Ultimately, the band structure determines a material’s electrical and optical properties.

The team, at MIT and Princeton University, has used the technique to probe a semiconducting sheet of gallium arsenide, and has mapped out the energy and momentum of electrons throughout the material. The results are published today in the journal Science.

By visualizing the band structure, not just at the surface but throughout a material, scientists may be able to identify better, faster semiconductor materials. They may also be able to observe the strange electron interactions that can give rise to superconductivity within certain exotic materials.

“Electrons are constantly zipping around in a material, and they have a certain momentum and energy,” says Raymond Ashoori, professor of physics at MIT and a co-author on the paper. “These are fundamental properties which can tell us what kind of electrical devices we can make. A lot of the important electronics in the world exist under the surface, in these systems that we haven’t been able to probe deeply until now. So we’re very excited — the possibilities here are pretty vast.”

Ashoori’s co-authors are postdoc Joonho Jang and graduate student Heun Mo Yoo, along with Loren Pfeffer, Ken West, and Kirk Baldwin, of Princeton University.

Pictures beneath the surface

To date, scientists have only been able to measure the energy and momentum of electrons at a material’s surface. To do so, they have used angle-resolved photoemission spectroscopy, or ARPES, a standard technique that employs light to excite electrons and make them jump out from a material’s surface. The ejected electrons are captured, and their energy and momentum are measured in a detector. Scientists can then use these measurements to calculate the energy and momentum of electrons within the rest of the material.

“[ARPES] is wonderful and has worked great for surfaces,” Ashoori says. “The problem is, there is no direct way of seeing these band structures within materials.”

In addition, ARPES cannot be used to visualize electron behavior in insulators — materials within which electric current does not flow freely. ARPES also does not work in a magnetic field, which can greatly alter electronic properties inside a material.

The technique developed by Ashoori’s team takes up where ARPES leaves off and enables scientists to observe electron energies and momenta beneath the surfaces of materials, including in insulators and under a magnetic field.

“These electronic systems by their nature exist underneath the surface, and we really want to understand them,” Ashoori says. “Now we are able to get these pictures which have never been created before.”

Tunneling through

The team’s technique is called momentum and energy resolved tunneling spectroscopy, or MERTS, and is based on quantum mechanical tunneling, a process by which electrons can traverse energetic barriers by simply appearing on the other side — a phenomenon that never occurs in the macroscopic, classical world which we inhabit. However, at the quantum scale of individual atoms and electrons, bizarre effects such as tunneling can occasionally take place.

“It would be like you’re on a bike in a valley, and if you can’t pedal, you’d just roll back and forth. You would never get over the hill to the next valley,” Ashoori says. “But with quantum mechanics, maybe once out of every few thousand or million times, you would just appear on the other side. That doesn’t happen classically.”

Ashoori and his colleagues employed tunneling to probe a two-dimensional sheet of gallium arsenide. Instead of shining light to release electrons out of a material, as scientists do with ARPES, the team decided to use tunneling to send electrons in.

The team set up a two-dimensional electron system known as a quantum well. The system consists of two layers of gallium arsenide, separated by a thin barrier made from another material, aluminum gallium arsenide. Ordinarily in such a system, electrons in gallium arsenide are repelled by aluminum gallium arsenide, and would not go through the barrier layer.

“However, in quantum mechanics, every once in a while, an electron just pops through,” Jang says.

The researchers applied electrical pulses to eject electrons from the first layer of gallium arsenide and into the second layer. Each time a packet of electrons tunneled through the barrier, the team was able to measure a current using remote electrodes. They also tuned the electrons’ momentum and energy by applying a magnetic field perpendicular to the tunneling direction. They reasoned that those electrons that were able to tunnel through to the second layer of gallium arsenide did so because their momenta and energies coincided with those of electronic states in that layer. In other words, the momentum and energy of the electrons tunneling into gallium arsenide were the same as those of the electrons residing within the material.

By tuning electron pulses and recording those electrons that went through to the other side, the researchers were able to map the energy and momentum of electrons within the material. Despite existing in a solid and being surrounded by atoms, these electrons can sometimes behave just like free electrons, albeit with an “effective mass” that may be different than the free electron mass. This is the case for electrons in gallium arsenide, and the resulting distribution has the shape of a parabola. Measurement of this parabola gives a direct measure of the electron’s effective mass in the material.

Exotic, unseen phenomena

The researchers used their technique to visualize electron behavior in gallium arsenide under various conditions. In several experimental runs, they observed “kinks” in the resulting parabola, which they interpreted as vibrations within the material.

“Gallium and arsenic atoms like to vibrate at certain frequencies or energies in this material,” Ashoori says. “When we have electrons at around those energies, they can excite those vibrations. And we could see that for the first time, in the little kinks that appeared in the spectrum.”

They also ran the experiments under a second, perpendicular magnetic field and were able to observe changes in electron behavior at given field strengths.

“In a perpendicular field, the parabolas or energies become discrete jumps, as a magnetic field makes electrons go around in circles inside this sheet,” Ashoori says.

“This has never been seen before.”

The researchers also found that, under certain magnetic field strengths, the ordinary parabola resembled two stacked donuts.

“It was really a shock to us,” Ashoori says.

They realized that the abnormal distribution was a result of electrons interacting with vibrating ions within the material.

“In certain conditions, we found we can make electrons and ions interact so strongly, with the same energy, that they look like some sort of composite particles: a particle plus a vibration together,” Jang says.

Further elaborating, Ashoori explains that “it’s like a plane, traveling along at a certain speed, then hitting the sonic barrier. Now there’s this composite thing of the plane and the sonic boom. And we can see this sort of sonic boom — we’re hitting this vibrational frequency, and there’s some jolt happening there.”

The team hopes to use its technique to explore even more exotic, unseen phenomena below the material surface.

“Electrons are predicted to do funny things like cluster into little bubbles or stripes,” Ashoori says. “These are things we hope to see with our tunneling technique. And I think we have the power to do that.”

The trick is to be able to use beryllium atoms in gallium nitride. Gallium nitride is a compound widely used in semiconductors in consumer electronics from LED lights to game consoles. To be useful in devices that need to process considerably more energy than in your everyday home entertainment, though, gallium nitride needs to be manipulated in new ways on the atomic level.

“There is growing demand for semiconducting gallium nitride in the power electronics industry. To make electronic devices that can process the amounts of power required in, say, electric cars, we need structures based on large-area semi-insulating semiconductors with properties that allow minimising power loss and can dissipate heat efficiently. To achieve this, adding beryllium into gallium nitride – or ‘doping’ it – shows great promise,” explains Professor Filip Tuomisto from Aalto University.

Sample chamber of the positron accelerator. Credit: Hanna Koikkalainen

Sample chamber of the positron accelerator. Credit: Hanna Koikkalainen

Experiments with beryllium doping were conducted in the late 1990s in the hope that beryllium would prove more efficient as a doping agent than the prevailing magnesium used in LED lights. The work proved unsuccessful, however, and research on beryllium was largely discarded.

Working with scientists in Texas and Warsaw, researchers at Aalto University have now managed to show – thanks to advances in computer modelling and experimental techniques – that beryllium can actually perform useful functions in gallium nitride. The article published in Physical Review Letters shows that depending on whether the material is heated or cooled, beryllium atoms will switch positions, changing their nature of either donating or accepting electrons. “Our results provide valuable knowledge for experimental scientists about the fundamentals of how beryllium changes its behaviour during the manufacturing process. During it – while being subjected to high temperatures – the doped compound functions very differently than the end result,” describes Tuomisto.

If the beryllium-doped gallium nitride structures and their electronic properties can be fully controlled, power electronics could move to a whole new realm of energy efficiency.

“The magnitude of the change in energy efficiency could as be similar as when we moved to LED lights from traditional incandescent light bulbs. It could be possible to cut down the global power consumption by up to ten per cent by cutting the energy losses in power distribution systems,” says Tuomisto.

BY ED KORCZYNSKI, Sr. Technical Editor

As previously reported by Solid State Technology, the eBeam Initiative recently reported the results of its lithography perceptions and mask-makers’ surveys. After the survey results were presented at the 2017 Photomask Technology Symposium, Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative, spoke with Solid State Technology about the survey results and current challenges in advanced lithography.

The Figure shows the consensus opinions of 75 luminaries from 40 companies who provided inputs to the perceptions survey regarding which Next-Generation Lithography (NGL) technologies will be used in volume manufacturing over the next few years. “We don’t want to interpret these data too much, but at the same time the information should be representative because people will be making business decisions based on this,” said Fujimura.

Screen Shot 2017-11-08 at 2.19.48 PM

Confidence in Extreme Ultra-Violet (EUV) lithography is now strong, with 79 percent of respondents predicting it will be used in HVM by the end of 2021, a huge increase from 33 percent just three years ago. Another indication of aggregate confidence in EUVL technology readiness is that only 7 percent of respondents thought that “actinic mask inspection” would never be used in manufacturing, significantly reduced from 22 percent just last year.

Asking luminaries is very meaningful, and obviously the answers are highly correlated with where the industry will be spending on technologies,” explained Fujimura.

“The predictability of these sorts of things is very high. In particular in an industry with confidentiality issue, what people ‘think’ is going to happen typically reflects what they know but cannot say.”

Fujimura sees EUVL technology receiving most of the investment for next-generation lithography (NGL), “Because EUV is a universal technology. Whether you’re a memory or logic maker it’s useful for all applications. Whereas nano- imprint is only useful for defect-resistant designs like memory.”

Vivek Bakshi’s recent blog post details the current status of EUVL technology evolution. With practical limits on the source-power, many organization are looking at ways to increase the sensitivity of photoresist so as to increases the throughput of EUVL processes. Unfortunately, the physics and chemistry of photoresists means that there are inherent trade- offs between the best Resolution and Line-width-roughness (LWR) and Sensitivity, termed the “RLS triangle”.

Mask-making metrics

The business dynamics of making photomasks provides leading indicators of the IC fab industry’s technology direc- tions. A lot of work has been devoted to keeping mask write times consistent compared with last year, while the average complexity of masks continues to increase with Reticle Enhancement Technologies (RET) to extend the resolution of optical lithography. Even with write times equal, the average mask turn-around time (TAT) is significantly greater for more critical layers, approaching 12 days for 7nm- to 10nm-node masks.

“A lot of the increase in mask TAT is coming from the data-preparation time,” explained Fujimura. “This is important for the economics and the logistics of mask shops.” The weighted average of mask data preparation time reported in the survey is significantly greater for finer masks, exceeding 21 hours for 7nm- to 10nm-nodes. Data per mask continues to increase; the most dense mask now averages 0.94 TB, and the most dense mask single mask takes 2.2 TB.

Enabling the A.I. era


November 8, 2017

BY PETE SINGER, Editor-in-Chief

There’s a strongly held belief now that the way in which semiconductors will be designed and manufactured in the future will be largely determined by a variety of rapidly growing applications, including artificial intelligence/deep learning, virtual and augmented reality, 5G, automotive, the IoT and many other uses, such as bioelectronics and drones.

The key question for most semiconductor manufacturers is how can they benefit from these trends? One of the goals of a recent panel assembled by Applied Materials for an investor day in New York was to answer that question.

The panel, focused on “enabling the A.I. era,” was moderated by Sundeep Bajikar (former Sellside Analyst, ASIC Design Engineer). The panelists were: Christos Georgiopoulos (former Intel VP, professor), Matt Johnson (SVP in Automotive at NXP), Jay Kerley (CIO of Applied Materials), Mukesh Khare (VP of IBM Research) and Praful Krishna (CEO of Coseer). The panel discussion included three debates: the first one was “Data: Use or Discard”; the second was “Cloud versus Edge”; and the third was “Logic versus Memory.”

“There’s a consensus view that there will be an explosion of data generation across multiple new categories of devices,” said Bajikar, noting that the most important one is the self-driving car. NXP’s Johnson responded that “when it comes to data generation, automotive is seeing amazing growth.” He noted the megatrends in this space: the autonomy, connectivity, the driver experience, and electrification of the vehicle. “These are changing automotive in huge ways. But if you look underneath that, AI is tied to all of these,” he said.

He said that estimates of data generation by the hour are somewhere from 25 gigabytes per hour on the low end, up to 250 gigabytes or more per hour on the high end. or even more in some estimates.

“It’s going to be, by the second, the largest data generator that we’ve seen ever, and it’s really going to have a huge impact on all of us.”

Intel’s Georgiopoulos agrees that there’s an enormous amount of infrastructure that’s getting built right now. “That infrastructure is consisting of both the ability to generate the data, but also the ability to process the data both on the edge as well as on the cloud,” he said. The good news is that sorting that data may be getting a little easier. “One of the more important things over the last four or five years has been the quality of the data that’s getting generated, which diminishes the need for extreme algorithmic development,” he said. “The better data we get, the more reasonable the AI neural networks can be and the simpler the AI networks can be for us to extract information that we need and turn the data information into dollars.” Check out our website at www.solid-state.com for a full report on the panel.

The temperature impact on the performance of UHP pressure transducers is discussed.

BY YANLI CHEN, Ph.D. and MATTHEW MILBURN, P.E., UCT, Hayward, CA

As the semiconductor industry develops new films that require heated delivery systems, all related components need to be characterized at elevated temperatures. Vacuum pressure measurement components, typically called manometers, have been used at elevated temperatures for many years. In fact, many of the vacuum measurement transducers are internally heated to a known temperature to stabilize the mechanical relationships between moving parts and the sensors used to measure the movement. This stabilization enables the precision and inaccuracy of the measurement to be greatly improved. For positive pressure UHP transducers, this elevated temperature characterization has not been done. Based on the testing performed at UCT, temperature related performance variations are very real and must be carefully considered before choosing a positive pressure transducer for elevated temperature use. Since the industry is driving toward higher delivery system operating temperatures, temperature effects will become more important.

The UHP pressure transducer is a widely-used component in the semiconductor industry and the performance is very important for process control and process monitoring. Selecting a proper UHP pressure transducer with good performance for the specific application is challenging, because different UHP pressure transducers manufacturers have different parameters listed in their data and specification sheets. Behind the data presented, it was found that different test procedures and data processing methods were used to determine and report performance characteristics. This reality creates a situation where, without standardized test method or reporting format, neither the specifier nor the end user can compare the performance of different brands of pressure transducers. To date, the industry has not recognized the full scope of the specification problem nor developed a standardized testing and reporting program. A new push toward standardization has become available with the publishing of SEMIF113 “Test Method For Pressure Transducers Used In Gas Delivery Systems” in November of 2016.

In order to have a better understanding about the performance of different UHP pressure transducer manufacturers’ products, UCT initialized a comprehensive performance evaluation project with a participation of three major UHP pressure transducer manufacturers (MFG A, MFG B and MFG C). The totality of the project covered a total of nine test categories, including warm up time test, input voltage sensitivity test, repeatability, linearity, hysteresis and inaccuracy test, reproducibility test, thermal coefficient test, drift test, accelerated lift cycle test, proof and burst test. The topic of this paper is the thermal coefficient test. Interested readers can find the other article “Comprehensive performance evaluation of UHP pressure transducers” published on the VOL. 59 NO. 4 of Solid State Technology (June 2016), which demonstrated the test method of repeatability, linearity, hysteresis and inaccuracy.

Ideally, a pressure transducer would sense pressure and remain unaffected by other environmental changes. In reality, however, the signal output of every pressure transducer is somewhat affected by variations in environment and fluid temperature. Temperature changes can cause the expansion and contraction of the sensor materials, fill fluids, housings, and electronics. Temperature changes also can affect the sensor’s resistors and electrical connections through the thermoelectric effects. Typically, a sensor’s behavior regarding changes in temperature is characterized by two temperature coefficients: temperature effect on zero (TC zero) and temperature effect on span (TC Span). TC zero is expressed as a percentage of full scale and indicates the greatest deviation of a pressure transducer at zero setpoint per equal temperature change (such as 10K or 50°C) during the operating temperature range. TC span is also expressed as a percentage of full scale and indicates the greatest deviation of a pressure transducer at 100%FS setpoint per equal temperature change (such as 10K or 50°C) during the operating temperature range. FIGURES 1, 2 and 3 list the TC zero and TC span of pressure transducer products of MFG A, MFG B and MFG C, respectively.

Screen Shot 2017-11-08 at 1.44.10 PM

Comparing the three thermal coefficient specifications above for MFG A, MFG B and MFC C, it is not possible to conclude which manufacturer’s product is the best for thermal behavior. Therefore, a standard test method and data process for thermal effects evaluation is needed.

Screen Shot 2017-11-08 at 1.44.20 PM

Test setup and procedure

Three major UHP pressure transducer manufacturer (MFG A, MFG B, and MFG C) participated in this comprehensive performance evaluation project by providing test samples. Table 1 shows the detailed information of all the devices under tests (DUTs). Twelve DUTs were installed in a test fixture designed by UCT for running simultaneous tests. The schematic of the test fixture is shown in FIGURE 4. The benefit of this design is to save significant time that would be otherwise used for assembly, disassembly, and testing, and eliminates the potential for setup errors if each transducer was tested separately in the battery of tests.

Screen Shot 2017-11-08 at 1.44.33 PM

The test was conducted in a temperature controlled environmental chamber (see Figure 5). The following sequence of steps were taken:

• A leak integrity test
• Make the initial zero adjustment per the manufacturer’s instructions
• Adjust the temperature of the environmental chamber to 0°C and allow the temperature to stabilize for a minimum period of two hours.
• Adjust the pressure to 0% FS (-14.7 psig), and record the signal output of all the DUTs and the pressure reference device after the pressure stabilization.
• Adjust the pressure to 100% FS(235.3 psig),andrecord the signal output of all the DUTs and the pressure reference device after the pressure stabilization.
• Repeat the same procedure for the temperature setpoints of 20°C, 40°C and 60°C at the pressure setpoints of 0%FS and 100%FS.

Screen Shot 2017-11-08 at 1.44.41 PM

Results and discussion

The TC zero (0%FS) and TC span (100%FS) values of all DUTs are listed in Table 2. For each manufacturer’s sample group, the highest value for the thermal coefficients at zero and span are highlighted in red; the lowest value for the thermal coefficients at zero and span are highlighted in green. To reiterate, the smaller the TC value, the better.

• For the DUTs from MFG A, the smallest TC zero is 0.0022%FS/°C and the smallest TC span is 0.0324%FS/°C.
• For the DUTs from MFG B, the smallest TC zero is 0.0012%FS/°C and the smallest TC span is 0.0099%FS/°C.
• For the DUTs from MFG C, the smallest TC zero is 0.0102%FS/°C and the smallest TC span is 0.0215%FS/°C.
• For the DUTs from MFG A, the largest TC zero is 0.0127%FS/°C and the largest TC span is 0.0564%FS/°C.
• For the DUTs from MFG B, the largest TC zero is 0.0042%FS/°C and the largest TC span is 0.0155%FS/°C.
• For the DUTs from MFG C, the largest TC zero is 0.0283%FS/°C and the largest TC span is 0.0354%FS/°C.

The extreme TC values for each manufacturer are summarized in Table 3. As shown in this table, the MFG B product has the lowest value (0.0042%FS/°C) and MFG C product has the highest value (0.0283%FS/°C) for the TC zero. For the TC span, the MFG B product still has the lowest value (0.0155%FS/°C), and the MFG A product has the highest value (0.0564%FS/°C).

Screen Shot 2017-11-08 at 1.44.49 PM

To compare the results to the published specification from MFG A, the results needed to be converted and are listed in Table 4.

Screen Shot 2017-11-08 at 1.44.59 PM

Comparing test results with the published specifications (FIGURE 1), the MFG A devices are meeting their thermal coefficient specification.

To compare the results to the published specification from MFG B, the results needed to be converted and are listed in Table 5.

Screen Shot 2017-11-08 at 1.45.08 PM

Compared with the published specifications (FIGURE 2), the MFG B devices are meeting their thermal coefficient specification at zero. All the MFG B devices except DUT 6 meet the of the thermal coefficient specification at span. However, the TC span for DUT 6 is 0.15550%FS/10K, which is very close to the specification value (0.15%FS/10K).

To compare the results to the published specification from MFG C, the results needed to be converted and are listed in Table 6.

Screen Shot 2017-11-08 at 1.45.15 PM

Compared to the published MFG C specifications (FIGURE 3), the MFG C devices are meeting their thermal coefficient specification.

The error change with the temperature increase of all the DUTs at 0%FS is shown graphically in FIGURE 6. Comparing the three plots, it can be seen that the DUTs from manufacturer C have the largest thermal variation across the temperature range of the test as well as device to device variation. The DUTs from manufacturer B have the smallest thermal variation across the temperature range of the test as well as device to device variation.

The error change with the temperature increase of all the DUTs at 100%FS is shown graphically in FIGURE 7. Comparing the three plots, it can be seen that the DUTs from manufacturer C have the largest thermal variation across the temperature range of the test as well as device to device variation. The DUTs from manufacturer B have the smallest fluctuation across the temperature range.

Conclusion

Based on this study, transducers marketed as comparable to each other display dramatically different performance levels within a relatively small temperature range which could lead to process reproducibility challenges. As the demand for higher temperature applications increases, these temperature performance variances will become more pronounced. These variations may prove to be very problematic with tool-to-tool process replication or when a transducer is replaced as a repair activity and the new transducer does not have the same performance characteristic as the old unit. The test results also demonstrate that the published specifications need to be standardized to improve direct comparison by end users. In addition, a uniform test procedure and data processing method needs to be adopted by the industry. The pressure measurement task force of SEMI North America Gases and Facilities Committee has developed and published a new pressure transducer measurement standard in November of 2016 based on this study.

Temperature-related shift not only contributes to the overall inaccuracy of a pressure transducer in a particular application, but they also factor into the economics of designing and manufacturing pressure transducers. This is due to the fact that temperature compensation is a complex, time-consuming, and expensive process that requires a significantly larger investment in production equipment and a deeper understanding of the influencing parameters.

References

1. Chemical Engineering Progress (CEP), June 2014 Gassmann, E. (2014, June) Pressure Sensor Fundamentals: Interpreting Accuracy and Error, 37-45
2. IEC 61298-3 Process measurement and control devices-General methods and procedures for evaluating performance-Part 3: Tests for the effects of influence quantities
3. SEMI C59-1104-0211R Specifications and Guidelines for Nitrogen
4. SEMI F1-0812 Specification for leak integrity of high-purity gas piping systems and components
5. SEMI F62-1111 Test method for determining mass flow controller performance characteristics from ambient and gas temperature effects
6. SEMI F113-1116 Test method for pressure transducers used in gas delivery systems

Kyma Technologies, Inc., a developer of advanced wide bandgap semiconductor materials technologies, announced it has used its new K200 hydride vapor phase epitaxy (HVPE) growth tool to produce high quality 200mm diameter HVPE GaN on QST (QROMIS Substrate Technology) templates.

Today’s announcement of Kyma’s development of 200mm diameter GaN on QST templates follows its announcement in 2016 of its demonstration of 150mm diameter GaN on QST templates in partnership with QROMIS, Inc. (formerly Quora Technology, Inc.) and its recent announcement of the commissioning of Kyma’s K200 HVPE growth tool.

200-mm GaN on QST® Template

Pictured is one of the demonstrated 200mm diameter HVPE GaN on QST templates which consists of 10 microns of HVPE GaN grown on a 5 micron MOCVD GaN on QST wafer provided by QROMIS, Inc. X-ray diffraction rocking curve linewidths for the templates fall in the range of 250 and 330 arc-sec for the symmetric {002) and asymmetric {102} XRD peaks, respectively, which is consistent with high structural quality. Low wafer bow (~50 microns) and smooth surface morphology suggest these materials should support high performance device manufacturing.

Kyma’s newly constructed K200 HVPE tool represents a first for the industry and was designed by Kyma engineers to enable uniform and rapid growth of high quality GaN on a number of different substrates.

Keith Evans, President & CEO, commented, “We have successfully transferred the process for making high quality GaN to our K200 HVPE tool. The structural quality of the GaN produced on QROMIS’ QST substrate is excellent. We are currently engaging with customers interested in large diameter GaN on QST templates.”

Kyma and Qromis are partnered for this work under a Kyma-led US DOE Phase IIB SBIR with award number DE-SC0009653.

QROMIS recently began manufacturing 200-mm QST substrates and GaN-on-QST wafers using its foundry partner Vanguard International Semiconductor (VIS). VIS is planning to offer GaN power device manufacturing services on 8-inch diameter QST platform in 2018.

QROMIS co-founder & CEO Cem Basceri added, “QROMIS’ CMOS fab-friendly 200-mm diameter QST substrates and GaN-on-QST wafers represent a disruptive technology, enabling GaN epitaxy from a few microns to hundreds of microns for GaN power applications ranging from 100V to 1,500V or beyond in lateral, quasi vertical or vertical device forms, and device manufacturing on the same 8-inch or 12-inch diameter platform at Si power device cost. Kyma’s K200 HVPE technology represent an important value-add to QST-based GaN power device manufacturing by enabling the low cost deposition of a thicker and lower defect density GaN surface than is practically achievable using MOCVD growth alone.”

Kyma is also teamed with a semiconductor equipment OEM to manufacture K200 HVPE tools for customers who prefer to bring Kyma’s HVPE GaN growth process in-house.

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing.

BY STACY WEGNER, Ottawa, Canada, and JEONGDONG CHOE, Ottawa, Canada

TechInsights analysts have been keeping an intent watch on where technology has progressed, how it’s changing, and what new developments are emerging. At the end of the first quarter, our analysts shared their insights and thoughts about what to keep an eye on as the year unfolds. In this article, they provide an update on what 2017 has delivered so far.

Intelligent, connected devices

As we wrote earlier this year, in 2016, wearables were extremely interesting mainly because there was so much uncertainty around whether or not the market would be viable. Some, no, many, say the wearables market will cool off and possibly just expire. At TechInsights, we do will not speculate about whether this market is going to survive. We will report what we find and analyze what is currently being sold. Apple, Samsung, and Huawei have all released smartwatches for what would parallel a “flagship” in the mobile market (FIGURE 1). Fitness bands are becoming even ”smarter” and combining sensors where possible. Perhaps one of the most notable developments is Nokia’s acquisition and complete integration of Withings into its existing brands.

Screen Shot 2017-11-07 at 12.24.01 PM

We are witnessing the “rise of the machines,” in products from scales and hair brushes to rice cookers. Primarily these devices offer consumers convenience. For example, with a connected scale, instead of recording your weight manually, the smart scales do the job for you, syncing with various health apps so you can track your weight over time. The connected hair brush provides insights into your hair’s manageability, frizziness, dryness, split ends and breakage to provide a hair quality score. Brushing patterns, pressure applied and brush stroke counts are analyzed to measure effectiveness of brushing habits and a personal diagnosis is provided with tips and real-time product recommendations. The most common connected devices include refrigerators, lights, washing machines, thermostats, and televisions.

One dominant example is the ever-popular Amazon Echo, which has taken on a life of its own and is generating spin-off markets and competition. In July, it was reported that Amazon’s Alexa voice platform passed 15,000 skills — the voice-powered apps that run on devices like the Echo speaker, Echo Dot, newer Echo Show and others. The figure is up from the 10,000 skills Amazon officially announced in February. Amazon’s Alexa is building out an entire voice app ecosystem putting it much further ahead than its nearest competitor. The success seen with Echo has motivated other companies like Google, Lenovo, LG, Samsung and Apple to release compet- itive speakers, however it is estimated that Amazon is expected to control 70 percent of the market this year. In addition, Amazon and Microsoft recently announced a partnership to better integrate their digital assistants. This cross-platform integration provides users with access to Cortana features that Alexa is missing, and vice versa. Finally, the high- performance far-field microphones found in Amazon Echo products may soon find their way to other hardware companies as Amazon announced that the technology is available to those who want to integrate into the Alexa Experience. With its new reference solution, it’s never been easier for device makers to integrate Alexa and offer their customers the same voice experiences.

In the mobile market overall, we are seeing a strong emergence of devices targeted for the very hot market of India. The mobile devices for this market range from supporting 15 or more cellular bands to as few as five cellular bands, and that is for smart- phones. At TechInsights, we will be analyzing OEMs in India like Micromax, Intex, and Lava to see how they approach dealing with strong competitors like Samsung and Xiaomi.

Memory devices

In early 2017, 32L and 48L 3D NAND products were common and all the NAND players were eager to develop next generation 3D NAND products such as 64L and 128L. 3D NAND has been jumping into 64L (FIGURE 2). Samsung, Western Digital, Toshiba, Intel, and Micron already revealed CS or mass-products on the market. SK Hynix also showed their 72L NAND die as a CS product. In the second half of this year, we will see 64L and 72L NAND products on the commercial market. For n+1 generation with 96L or 128L, we expect that two-stacked cell array architecture for 3D NAND would be adopted in 2018. Micron/Intel will keep their own FG based 3D NAND cell structure for the next generation.

Screen Shot 2017-11-07 at 12.24.10 PM

Referring to DRAM, all the major players already used their advanced process technology for cell array integration such as an advanced ALD for high-k dielectrics, low damage plasma etching and honeycomb capacitor structure. Buried WL, landing pad and plug for a capacitor node, and MESH structure are still main stream. Samsung 18nm DRAM products for DDR4 and LPDDR4X are on the market. SK Hynix and Micron will reveal the same tech node DRAM products in this year. n+1 gener- ation with 15nm or 16nm node will be next in 2018. Once 6F2 15nm DRAM cell technology is successful, 4F2 DRAM products such as a capacitorless DRAM might be delayed. In 2018, 18nm and 15nm DRAM technology will be used for GDDR6 and LPDDR5.

When it comes to emerging memory, 3D XPoint memory technology is a hot potato (FIGURE 3). The XPoint products from Intel are on the market as an Optane SSD with 16GB and 32GB. Performance including retention, reliability and speed are not matched as expected, but they used a double stacked memory cell between M4 and M5 on the memory array. It’s a PCM with GST based material. An OTS with Se-As-Ge-Si is added between the PCM and the electrode (WL or BL). We expect to see multiple (triple or quadruple) stacked XPoint memory architecture within a couple years. For other emerging memory such as STT-MRAM, PCRAM and ReRAM, we’re waiting on some commercial products from Adesto (CBRAM 45nm, RM33 series) and Everspin (STT-MRAM pMTJ 256Mb, AUP-AXL-M128).

Screen Shot 2017-11-07 at 12.24.21 PM

Conclusion

The technologies to watch identified by TechInsights analysts at the beginning of the year have not been disappointing. As our analysts continue to examine and reveal the innovations others can’t inside advanced technology, we will continue to share our findings on these and new technologies as they emerge, including how they are used, how they impact the market, and how they will be changed by the next discovery or invention.

Researchers at the U.S. Department of Energy’s (DOE) National Renewable Energy Laboratory (NREL) established a new world efficiency record for quantum dot solar cells, at 13.4 percent.

Colloidal quantum dots are electronic materials and because of their astonishingly small size (typically 3-20 nanometers in dimension) they possess fascinating optical properties. Quantum dot solar cells emerged in 2010 as the newest technology on an NREL chart that tracks research efforts to convert sunlight to electricity with increasing efficiency. The initial lead sulfide quantum dot solar cells had an efficiency of 2.9 percent. Since then, improvements have pushed that number into double digits for lead sulfide reaching a record of 12 percent set last year by the University of Toronto. The improvement from the initial efficiency to the previous record came from better understanding of the connectivity between individual quantum dots, better overall device structures and reducing defects in quantum dots.

The latest development in quantum dot solar cells comes from a completely different quantum dot material. The new quantum dot leader is cesium lead triiodide (CsPbI3), and is within the recently emerging family of halide perovskite materials. In quantum dot form, CsPbI3 produces an exceptionally large voltage (about 1.2 volts) at open circuit.

“This voltage, coupled with the material’s bandgap, makes them an ideal candidate for the top layer in a multijunction solar cell,” said Joseph Luther, a senior scientist and project leader in the Chemical Materials and Nanoscience team at NREL. The top cell must be highly efficient but transparent at longer wavelengths to allow that portion of sunlight to reach lower layers. Tandem cells can deliver a higher efficiency than conventional silicon solar panels that dominate today’s solar market.

This latest advance, titled “Enhanced mobility CsPbI3 quantum dot arrays for record-efficiency, high-voltage photovoltaic cells,” is published in Science Advances. The paper was co-authored by Erin Sanehira, Ashley Marshall, Jeffrey Christians, Steven Harvey, Peter Ciesielski, Lance Wheeler, Philip Schulz, and Matthew Beard, all from NREL; and Lih Lin from the University of Washington.

The multijunction approach is often used for space applications where high efficiency is more critical than the cost to make a solar module. The quantum dot perovskite materials developed by Luther and the NREL/University of Washington team could be paired with cheap thin-film perovskite materials to achieve similar high efficiency as demonstrated for space solar cells, but built at even lower costs than silicon technology–making them an ideal technology for both terrestrial and space applications.

“Often, the materials used in space and rooftop applications are totally different. It is exciting to see possible configurations that could be used for both situations,” said Erin Sanehira a doctoral student at the University of Washington who conducted research at NREL.

For the first time, researchers have used a single-step, laser-based method to produce small, precise hybrid microstructures of silver and flexible silicone. This innovative laser processing technology could one day enable smart factories that use one production line to mass-produce customized devices combining soft materials such as engineered tissue with hard materials that add functions such as glucose sensing.

Using a one-step laser fabrication process, researchers created flexible hybrid microwires that conduct electricity. (a) An optical microscope image of the silver (black) and silicone (clear) microwires. (b) Scanning electron microscopy image of the same fabricated structure. Both scale bars are equal to 25 microns. Credit: Mitsuhiro Terakawa, Keio University

Using a one-step laser fabrication process, researchers created flexible hybrid microwires that conduct electricity. (a) An optical microscope image of the silver (black) and silicone (clear) microwires. (b) Scanning electron microscopy image of the same fabricated structure. Both scale bars are equal to 25 microns. Credit: Mitsuhiro Terakawa, Keio University

The metal component of the microstructures renders them electrically conductive while the elastic silicone contributes flexibility. This unique combination of properties makes the structures sensitive to mechanical force and could be useful for making new types of optical and electrical devices.

“These types of microstructures could possibly be used to measure very small movements or changes, such as a slight movement from an insect’s body or the subtle expression produced by a human facial muscle,” said research team leader Mitsuhiro Terakawa from Keio University, Japan. “This information could be used to create perfect computer-generated versions of these movements.”

As detailed in the journal Optical Materials Express, from The Optical Society (OSA), the researchers produced wire-like structures of silver surrounded by a type of silicone known as polydimethylsiloxane (PDMS). The researchers used PDMS because it is flexible and biocompatible, meaning that it is safer to use on or in the body.

They fabricated the structures, which measure as little as 25 microns wide, by irradiating a mixture of PDMS and silver ions with extremely short laser pulses that last just femtoseconds. In one femtosecond, light travels only 300 nanometers, which is just slightly larger than the smallest bacteria.

“We believe we are the first group to use femtosecond laser pulses to create a hybrid material containing PDMS, which is very useful because of its elasticity,” said Terakawa. “The work represents a step towards using a single, precision laser processing technology to fabricate biocompatible devices that combine hard and soft materials.”

Turning two laser processes into one

The one-step fabrication method used to make the hybrid microstructures combines the light-based chemical reactions known as photopolymerization and photoreduction, both of which were induced using femtosecond laser pulses. Photopolymerization uses light to harden a polymer, and photoreduction uses light to form microstructures and nanostructures from metal ions.

The fabrication technique resulted from a collaboration between Terakawa’s research group, which been studying two-photon photoreduction using soft materials, and a group at the German research organization Laser Zentrum Hannover, that has been advancing single-photon photopolymerization of PDMS.

To create the wire microstructures, the researchers irradiated the PDMS-silver mixture with light from femtosecond laser emitting at 522-nm, a wavelength that interacts efficiently with the material mixture. They also carefully selected silver ions that would combine well with PDMS.

The researchers found that just one laser scan formed wires that exhibit both the electrical conductivity of metal and the elasticity of a polymer. Additional scans could be used to produce thicker and more uniform structures. They also showed that the wire structures responded to mechanical force by blowing air over the structures to create a pressure of 3 kilopascal.

The researchers say that, in addition to making wires structures, the approach could be used to make tiny 3D metal-silicone structures. As a next step, they plan to study whether the fabricated wires maintain their structure and properties over time.

“Our work demonstrates that simultaneously inducing photoreduction and photopolymerization is a promising method for fabricating elastic and electrically conductive microstructures,” said Terakawa. “This is one step toward our long-term goal of developing a smart factory for fabricating many human-compatible devices in one production line, whether the materials are soft or hard.”

Samsung Electronics Co., Ltd. announced today that 8-nanometer (nm) FinFET process technology, 8LPP (Low Power Plus), has been qualified and is ready for production.

The newest process node, 8LPP provides up to 10-percent lower power consumption with up to 10-percent area reduction from 10LPP through narrower metal pitch. 8LPP will provide differentiated benefits for applications including mobile, cryptocurrency and network/server, and is expected to be the most attractive process node for many other high performance applications.

As the most advanced and competitive process node before EUV is employed at 7nm, 8LPP is expected to rapidly ramp-up to the level of stable yield by adopting the already proven 10nm process technology.

“With the qualification completed three months ahead of schedule, we have commenced 8LPP production,” said Ryan Lee, Vice President of Foundry Marketing at Samsung Electronics. “Samsung Foundry continues to expand its process portfolio in order to provide distinct competitive advantages and excellent manufacturability based on what our customers and the market require.”

“8LPP will have a fast ramp since it uses proven 10nm process technology while providing better performance and scalability than current 10nm-based products,” said RK Chunduru, Senior Vice President of Qualcomm.

Details of the recent update to Samsung’s foundry roadmap, including 8LPP availability and 7nm EUV development, will be presented at the Samsung Foundry Forum Europe on October 18, 2017, in Munich, Germany. The Samsung Foundry Forum was held in the United States, South Korea and Japan earlier this year, sharing Samsung’s cutting-edge process technologies with global customers and partners.