Tag Archives: letter-wafer-top

Intel Corporation and Micron Technology, Inc. today unveiled 3D XPoint technology, a non-volatile memory that has the potential to revolutionize any device, application or service that benefits from fast access to large sets of data. Now in production, 3D XPoint technology is a major breakthrough in memory process technology and the first new memory category since the introduction of NAND flash in 1989.

The explosion of connected devices and digital services is generating massive amounts of new data. To make this data useful, it must be stored and analyzed very quickly, creating challenges for service providers and system builders who must balance cost, power and performance trade-offs when they design memory and storage solutions. 3D XPoint technology combines the performance, density, power, non-volatility and cost advantages of all available memory technologies on the market today. The technology is up to 1,000 times faster and has up to 1,000 times greater endurance3 than NAND, and is 10 times denser than conventional memory.

“For decades, the industry has searched for ways to reduce the lag time between the processor and data to allow much faster analysis,” said Rob Crooke, senior vice president and general manager of Intel’s Non-Volatile Memory Solutions Group. “This new class of non-volatile memory achieves this goal and brings game-changing performance to memory and storage solutions.”

“One of the most significant hurdles in modern computing is the time it takes the processor to reach data on long-term storage,” said Mark Adams, president of Micron. “This new class of non-volatile memory is a revolutionary technology that allows for quick access to enormous data sets and enables entirely new applications.”

As the digital world quickly grows – from 4.4 zettabytes of digital data created in 2013 to an expected 44 zettabytes by 20204 – 3D XPoint technology can turn this immense amount of data into valuable information in nanoseconds. For example, retailers may use 3D XPoint technology to more quickly identify fraud detection patterns in financial transactions; healthcare researchers could process and analyze larger data sets in real time, accelerating complex tasks such as genetic analysis and disease tracking.

The performance benefits of 3D XPoint technology could also enhance the PC experience, allowing consumers to enjoy faster interactive social media and collaboration as well as more immersive gaming experiences. The non-volatile nature of the technology also makes it a great choice for a variety of low-latency storage applications since data is not erased when the device is powered off.

3D Xpoint technology is up to 1000x faster than NAND and an individual die can store 128Gb of data

3D Xpoint technology is up to 1000x faster than NAND and an individual die can store 128Gb of data

New recipe, architecture for breakthrough memory technology

Following more than a decade of research and development, 3D XPoint technology was built from the ground up to address the need for non-volatile, high-performance, high-endurance and high-capacity storage and memory at an affordable cost. It ushers in a new class of non-volatile memory that significantly reduces latencies, allowing much more data to be stored close to the processor and accessed at speeds previously impossible for non-volatile storage.

The innovative, transistor-less cross point architecture creates a three-dimensional checkerboard where memory cells sit at the intersection of word lines and bit lines, allowing the cells to be addressed individually. As a result, data can be written and read in small sizes, leading to faster and more efficient read/write processes.

3D XPoint technology will sample later this year with select customers, and Intel and Micron are developing individual products based on the technology.

By Jeff Dorsch, Contributing Editor

Semiconductor test equipment and inspection/metrology equipment are unglamorous yet critical segments of the equipment field.

Most people could name the top vendors in semiconductor manufacturing equipment, yet many would draw a blank after identifying KLA-Tencor as a leader in inspection/metrology equipment – maybe Applied Materials and Hitachi High-Technologies, if they’re on the ball.

Greg Smith, broadband and computing business unit manager for Teradyne, estimated the semiconductor test system market was worth $2.9 billion in 2014 and could come in at $2.6 billion this year.

“This year looks a little bit weaker than last year, last year being a strong year,” he says. 2014 saw a lot of capital spending on automatic test equipment, particularly in memory testing. The Apple iPhone 6 and Samsung Galaxy S6 boosted “the supply chain for those devices,” Smith observes. “This year, there’s not that kind of buzz.”

On the other hand, “automotive is very strong,” Smith says. “Microcontrollers are very strong.”

MCUs account for a total of $150 million to $200 million in sales per year, for all vendors, and testers for chips going into Internet of Things applications account for “probably only $10 million to $15 million of that total,” he adds.

Teradyne will be focusing on semiconductor test at SEMICON West, according to Smith. The company will feature its ETS-800 test system from the Eagle Test Systems line, which can handle radio frequency-enabled MCUs. The J750-LitePoint tester will also be highlighted, targeting chips for smart homes and wearable electronics.

On the inspection/metrology side of the market, Rudolph Technologies expects the second quarter will represent another quarter of growth, its fifth consecutive quarter of growth, according to Mike Plisinski, executive vice president and chief operating officer. Mobility is the main growth engine for the company and the industry, he says.

“Mobility drives a variety of devices and technologies including microprocessors, memory, RF communication devices, and MEMS sensors. The inspection and metrology requirements are increasing for many of these customers as their process complexity increases and at the same time they are under increasing pressure to react faster to consumer demand while improving long term reliability. More importantly, we see a trend towards more integrated solutions for customers,” Plisinski says.

The movement to wafer-level fan-out packaging at the back end is presenting “a lot of challenges in metrology for these types of packages,” Plisinski says.

When it comes to high-end devices using low-k and interlevel dielectrics, “we can predict where chipping and cracking could occur,” he adds. Rudolph has made significant investments in its software, which provides “more and deeper understanding,” he notes. “We go directly into the sensor data at the equipment to correlate it with what is happening at the wafer,” Plisinski says. “This is pushing the limits of our systems, requiring the use of ‘big data’ technologies and advances in data acquisition. That’s all been driven by the last 12 to 18 months of customer demand.”

“We see customers repurposing a lot of 200-millimeter equipment for some of smaller, lower-cost devices used in mobility and the Internet of Things,” Plisinski says. “We never stopped optimizing our 200-millimeter products.”

At the same time, he acknowledges that the Internet of Things is “not really driving Rudolph’s growth.”

FEI sees “momentum in the business that is favorable compared to last year, particularly in Asia,” says Rob Krueger, the company’s vice president and general manager for the semiconductor business. “Logic spending is a little lumpy, compared with memory,” he adds.

Krueger has witnessed research and development spending on 10-nanometer semiconductors in the past year, while R&D on 7nm chips is “definitely on,” he says. “We shipped our first (7nm) tools early this year to advanced laboratories.”

The large silicon foundries dominated FEI’s business in 2014, the executive says. “This year, it’s more regional foundries,” he notes. “We’re starting to see that trickle-down of advanced technology.”

One trend that Krueger sees is the transition from scanning electron microscope analysis to transmission electron microscope analysis. Analytics has evolved beyond “just pictures,” he says. While FEI’s life sciences business makes greater use of “big data” analytics technology than its electronics business, FEI’s customers are “processing larger data sets” when it comes to defect detection, Krueger says. “We’re handling data with standard computing.”

FEI is anticipating “the momentum to continue into the 2nd half of the year,” Krueger says.

FEI last month introduced a new Helios DualBeam plasma-focused ion beam system for electrical fault isolation, electrical failure analysis, and sample preparation for sub-20nm devices. The company has already made some customer shipments of the system, according to Krueger.

As the semiconductor industry progresses to 10nm, 7nm, and possibly 5nm devices, test and inspection/metrology equipment vendors stand ready to handle the challenges of new materials and other aspects of next-generation process nodes.

By Pete Singer, Editor-in-Chief

Imagine EUV lithography in high volume production. ASML has been working for years to make it happen.

Earlier this year, ASML said that one of its major chip-manufacturing customers has placed an order for 15 EUV systems, including two that are set to be delivered before the end of this year. ASML did not name the customer, but it is almost certainly Intel (according to research firm IHS).

ASML’s CEO Peter Wennink said in a statement announcing that the customer agreement had been signed: “EUV is now approaching volume introduction. Long-term EUV planning and EUV ecosystem preparation is greatly supported by this commitment to EUV, kick-starting a new round of innovation in the semiconductor industry. The commitment extends the planning horizon and increases the confidence in EUV.”

EUV Unlike Anything Else in the Fab Figure 1

Unlike the current atmospheric based High End immersion lithography tools used in volume manufacturing, the ASML NXE tool is vacuum based and using 13.5nm EUV light, generated by a tin-based laser produced plasma source. The systems feature all-reflective 4x reduction optics assemblies from Carl Zeiss SMT with a numerical aperture (NA) of 0.33 and a maximum exposure field of 26mm by 33mm.

EUV tools are very different from any other tool in a fab in a couple of different ways. A main difference is that the tool is designed to operate in a continuous mode. “Other tools in the fab, such as single wafer tools or batch tools, will undergo many step changes during a total cycle such as process, vent, load and unload wafers and also cleaning steps,” says Jos Donders, global market sector manager at Edwards. “In principle the EUV tool is made for continuous operation. Knowing the cost of the tool and the cost for the facilities, you understand why it’s so important that the tool is always up and why there is such a demand on the reliability and uptime of the supporting equipment such as vacuum and abatement.”

EUV Unlike Anything Else in the Fab Figure 2

Donders, who was involved with the early work at ASML in understanding vacuum and abatement requirements of EUV, said the scanner and the source have very different requirements when it comes to vacuum levels. “The condition in the source is very different than the condition in the scanner. The challenge for the vacuum and abatement system is to handle the different conditions in an acceptable footprint in the sub-fab,” he said. “The cleanliness requirements, the materials selection and the overall budget are very important, as is the vacuum system that supports it,” he added.

Hydrogen in EUV is used to mitigate the contamination effect on the mirrors Andrew Chambers, Technical manager at Edwards said.

Pumping hydrogen is a challenge in itself. “It’s a small molecule,” says Donders. “It’s very difficult to pump. Your pumping mechanism needs to accommodate hydrogen, but also other gases (when the tool is in different states).” Chambers said there is interest in alternative solutions for handling and abating the process gases for EUV and work in Edwards is underway to achieve this ahead of volume manufacturing.

Donders concluded that one of Edwards’ main tasks is to enable EUV lithography going into volume production by supporting it needs to further improve the total energy use and offering sustainable solutions going forward.

By Shannon Davis, Web Editor

China’s state-owned Tsinghua Unigroup Ltd. is preparing a $23 billion bid for chipmaker Micron Technology, in what analysts say would be the biggest Chinese takeover of a U.S. company.

Tsinghua, China’s largest state-owned chip design company, is prepared to bid $21 per share for Micron, according to Dow Jones.

As of Tuesday, a Micron spokesman told Reuters that the company had not yet received an offer, while Tsinghua chairman Zhao Weiguo told Bloomberg that the Chinese company was “very interested in cooperation” with Micron.

Tsinghua’s potential purchase of Micron is regarded as a strategic move to help the advancement of China’s own chip sector. The country currently has no major home-grown memory makers, according to Reuters.

Micron is the last remaining U.S. producer of DRAM memory chips, and any foreign takeover would still have to pass a review by the Committee on Foreign Investment in the United States, to examine the national security implications of the deal. The deal would also need to be examined by the Chinese National Development and Reform Commission.

This would not be the first significant consolidation in the memory sector this year. In May, Hewlett-Packard sold a 51 percent stake in its data-networking business to Tsinghua for approximately $2.3 billion.

What the analysts are saying

“Valuation appears low as a potential $21 a share bid is 8.3 times fiscal year PE or low end of the historic range of 7 to 15 whereas Micron was at $32 just 5 months ago,” UBS analyst Stephen Chin told MarketWatch.

MarketWatch speculated that a cheap valuation could encourage other companies to launch their own bids.

BY JIN YOU ZAO, STATS ChipPAC, Singapore, and JOHN THORNELL, Rudolph Technologies, Inc. Bloomington, MN, USA

The demand for 4-mask layer Cu-plated wafer-level chip scale packaging (WLCSP) is increasing rapidly, and the current capability for in-line Cu height measurements is not suitable for high volume manufacturing (HVM). Thus, metrology constrains production capacity and limits volume ramp. Furthermore, the bottleneck created by a backlog of Cu step height measurements risks the timely detection of process drift and control. For a 4-mask layer Cu-plated WLCSP, accurate Cu step height measurement is required for both the Redistribution Layer (RDL) and Under Bump Metal (UBM) to ensure consistent delivery of good electrical performance and package reliability. This is especially important as WLCSP is moving towards finer feature size and pitch to meet increasing demand for smaller form factor.

In this article, the current measurement methodology is reviewed and an alternative measurement solution is derived. Full automation capability is delivered, yet the solution is reliable and versatile enough for high-mix production volumes. For quick-turn and high-mix volume manufacturing, accurate and fast in-line monitoring is crucial for timely process drift detection and control.

WLCSP in-line process measurement challenges

Contact-based profilometers are commonly used in wafer bumping for measurement of metal feature (RDL, UBM) thicknesses due to their ease of use and their low cost of ownership. However, the method of measurement is largely semi-automatic, and the identification of exact features and measurement locations is challenging.

This becomes more acute in a high product-mix HVM environment, where measurement needs to be highly adaptive to different features on different products. As such, contact-based profilometers are limited to sampling measurements, and cannot perform 100% die inspection for process characterization.

It is thus desirable to have an automated feature measurement system capable of measuring features at precise locations on different topology on wafers in both sampling and full inspection modes.

Specifically, feature measurement for wafer bumping comprises the following configurations (FIGURE 1):

HVM Fig 1

a) Cu RDL feature height measurement after Cu electro-plating, where the sputtered metal seed layer to enable Cu plating remains on the first layer polyimide surface

b) Final Cu RDL feature thickness measurement on first layer polyimide surface (PI-1) after the Cu seed layer is etched away. Accurate final Cu RDL thickness measurement would require a good gauging of the PI-1 thickness underneath, especially if the topology is not flat.

c) Cu UBM feature height measurement after Cu electroplating

d) Final Cu UBM feature thickness measurement on second layer polyimide surface (PI-2)

The development for automated feature measurement proceeded in two phases: (Phase-1) Cu step height highlight measurement on reflective metal surfaces, and (Phase-2) Cu thickness and polyimide thickness measurement on non-reflective surfaces.

Phase-1: Auto Cu height measurement

In this phase, the 3D inspection (3DI) system commonly used for solder bump height (typically greater than 20μm) measurement is explored for auto Cu feature height measurement. Typical 3DI system such as Rudolph’s WaferScanner, is equipped with the 3D triangulation laser sensor (FIGURE 2). Laser triangulation, where a laser is directed at the wafer surface at an angle of 45° and focused to a spot size of 8μm, provides fast, precise measurements of bump height and coplanarity. Through a combination of laser-scanning and wafer movement, the beam scans the entire wafer surface. A lens collects the reflected/scattered laser light and focuses it on a position sensitive detector.

HVM Fig 2

To enable Cu feature height measurement (typically in the range of 2- 20μm), the Triangular laser sensor was redesigned with a spot size of 5μm, providing accuracy down to +/-0.2 μm. The laser scanning algorithm was also improved from an array to a stagger method to improve the repeatability of scanning signals. As Cu feature height measurement is influenced by the surrounding topology, the ability to select any datum for measurement is critical. This was achieved through the integration of camera-based 2D inspection to the improved triangular laser sensor system using the developed datum selection program. An automated height measurement report can be conveniently generated for further analysis through the program (FIGURE 3).

FIGURE 3. Selectable datum for Cu feature height measurement through camera-sensor integration.

FIGURE 3. Selectable datum for Cu feature height measurement through camera-sensor integration.

To verify the consistency of measurement performance, both the improved 3D triangulation laser sensor system and contact profilometer were used to measure feature Cu height on correlation device wafers. It confirmed that the automated 3D triangulation laser sensor system registers statistically similar Cu feature height mean compared to the manual contact profilometer, but required only one-fifth of the measurement time taken by the profilometer. Wafer bumping facilities which already have an existing pool of 3DI inspection tools can be modified to extend measurement application to Cu feature height without the need for excessive new investment.

Phase-2: Auto Cu/ PI thickness measurement

While a strong signal can be derived using the 3D triangular laser signal for Cu feature height measurement after electroplating (Fig. 1, a and c), it is more difficult to establish a stable signal for Cu feature height measurement after the reflective metal seed layer is etched away, and a reference datum needs to be established on the remaining transparent polyimide surface (Fig. 1, b and c). Several conventional methods exist for non-contact measurement of step heights, such as various confocal sensors, triangulation sensors, and scanning white light interferometry. These sensors typically have difficulty differentiating between reflections from the top and bottom surfaces of a layer, that is, layer thickness. This limitation comes from the depth of focus of the objective, which in turn depends on its numerical aperture (NA). Thus, for all these techniques, sensor performance is highly dependent on objective lens.

To overcome this technical constraint, it was necessary to develop a metrology system that can measure concurrently the transparent layer thickness as well as the metal feature step height above the surface of the transparent layer. This can be achieved through the integration of reflectometry and visible light interferometry principles [3]. In this method, the direct reflection from the transparent layer provides direct thickness measurement of the transparent material, while the interferometry captures topography (distance from the sensor), allowing the system to measure the thickness of the opaque metals by scanning over the edge of the feature. This technique is called the visible thickness and shape sensor (VT-SS) system.

In the following sections we provides further description of how the VT-SS system can be adapted for feature height/thickness measurement on varying topology and opaque materials. For this work, we used the Rudolph Technologies NSX System configured with the VT-SS sensor.

VT-SS system MSA study

Measurement system analysis (MSA) seeks to qualify a measurement system for use by quantifying its accuracy, precision and stability. VLSI standard wafers with 8μm, 24μm, and 48μm step heights were used to assess gauge repeatability and reproducibility (GR&R) and accuracy of the VT-SS system, as well as system correlation on two different NSX Systems (tool matching) that were retrofitted with the VT-SS system.

A. Gauge repeatability and reproducibility
For the GR&R study, a total of ten parts on VLSI wafers (4 parts from 8μm, 3 parts from 24μm and 48μm respectively) were measured three times each, including wafer loading and unloading. FIGURE 4 shows gauge R&R for VT-SS is 1.35% of tolerance and fully meeting AIAG standard of <10%.

HVM Fig 4

B. Accuracy
Step height measurement accuracy was evaluated by means of bias and linearity analysis using the VLSI step height wafers. For this study, one location on each standard wafer was measured ten times and compared to the VLSI specification for the wafer.

Based on the studies in FIGURE 5, measurement with VT-SS system shows an average bias of 0.95%, and linearity error of 0.0059%, meeting the AIAG standard of <5%.

FIGURE 5. Accuracy study on VT-SS with VLSI standard.

FIGURE 5. Accuracy study on VT-SS with VLSI standard.

C. Correlation of Multiple Systems
Having established VT-SS capability, the next evaluation is system correlation on multiple tools of the same configuration. The same VLSI wafers described above were measured on a second system with the same hardware and software configuration.

HVM Table 1

A summary of results are shown in TABLE 1, and a detailed example of the 24μm step height is shown in FIGURE 6. For each wafer, the two systems produce similar results, with an offset that ranges from approximately 10nm to 30nm. Considering that the measurement uncertainty is on the order of 5nm (1-), the small system offset is within expectations.

HVM Fig 6

VT-SS system application assessment

VT-SS system allows capturing of both the transparent polyimide thickness and opaque Cu feature height with a single scan from polyimide layer to Cu feature. From the part of the scan covering the polyimide, signals representing the direct measure of the polyimide thickness, the distance to the first surface of the polyimide, and the distance to a metal surface under the passivation stack are measured. The direct measure of the polyimide thickness is the measurement a standard spectroscopic reflectometer would produce. In that part of the scan where the sensor spot illuminates the Cu step height, the direct thickness peak and one of the distance peaks disappear. Only a distance peak to the surface of the Cu feature is present since the copper is opaque. The Cu step height above the first polyimide layer is then determined from the appro- priate distance measures from each part of the scan. Thus, all the desired thickness and Cu thickness measurements are reported.

To aid interpretation of measured signal peaks, a visualization program was developed for automated generation of feature thickness. FIGURE 7 shows an illustration of the program interface for visualization of measured thickness. Raw data can also be exported for further analysis.

HVM Fig 7

A. VT-SS Cu RDL Layer thickness measurement
To assess VT-SS system’s measurement performance on an actual device feature, it was used to measure the Cu feature RDL thickness layer above the first polyimide (PI) layer (refer to Fig. 1, for a pictorial illustration) on a correlation device wafer. The measured RDL thickness was then cross verified with the actual measured Cu feature step height from a contact profilometer and WaferScanner

B. VT-SS Polyimide cum RDL layer Thickness
Further evaluation of the VT-SS system accuracy was achieved through comparison with cross sectional scanning electron microscopy (X-SEM) measurements. X-SEM allows evaluation of both RDL step height and PI thickness (Fig. 1, b). As discussed above the measurement sensor has the unique capability to simultaneously measure step height, i.e. a distance measurement, and film thickness. Both types of measurements must be independently evaluated for accuracy.

Conclusion

We have reported the development of VT-SS-based system on a fully automated platform for in-line process measurement of wafer bumping processes. This new metrology integrates both reflectometry and visible light interferometry principles. Based on MSA studies, VT-SS on a fully automated platform is a precise, accurate and fast metrology system. Engineering validations have shown VT-SS is highly capable in measuring critical dimensions such as RDL/UBM metal thickness, transparent polyimide/ passivation thickness, and feature sizes in one single step. It relieves the current constraints imposed by existing measurement tools on in-line process control, especially in a high mix, high volume production environment. This allows WLCSP production to move to new milestones of quality, yield, cycle time and productivity.

Acknowledgment

The authors would like to thank Harry Kam of STATSChipPAC Singapore (SCS) for his sponsorship in this project, and other team members from SCS and Rudolph Technologies, Inc. for supporting the development work.

References
1. Yole Development, WLCSP Market & Industrial Trends: 2012, Jan2012
2. Robert F. Kunesh, “Wafer Level Chip-Scale Packaging: Evolving to Meet a Growing Application Space”, Adv. Microelectronics, Jan/Feb 2013, Vol. No.1, pp14-16.
3. J. Schwider and Liang Zhou, “Dispersive Interferometric Profilometer,” Opt. Lett., Vol. 19, p. 995, 1994.

JIN YOU ZAO is with STATS ChipPAC in Singapore, and JOHN THORNELL is with Rudolph Technologies, Inc., in Bloomington, MN.

Engineered SOI substrates are now a mainstream option for the semiconductor industry.

BY MARIAM SADAKA and CHRISTOPHE MALEVILLE, Soitec, Austin, TX and Grenoble, France

The number of mobile subscribers worldwide reached 95.5% of the world’s population in 2014 and is expected to reach 9.3B by 2019 (1). This fast growing trend is driving end markets towards satisfying stringent demands of mobile connected users. Whether it is a smartphone or a wearable device, the key requirements include low cost, extended battery life, more functionalities, smaller form factor, and fast time to market. In an effort to bring more performance, more functionality or less power consumption, innovation starting at the substrate level has demonstrated significant achievements. This includes implementing planar Fully Depleted Silicon-On- Insulator (FD-SOI) devices with full back bias capability to extend Moore’s Law beyond 28nm and meet power/ performance/cost requirements for low power SoCs. In addition, using High Resistivity SOI for integrating the RF Front End Module (FEM) providing significant die cost advantage with increased performance and functionality. In this paper, engineered substrates for next generation ultra-low power integrated digital and RF devices and other emerging applications will be discussed.

Device scaling and device functional diversification

Device scaling has been following Moore’s law for the last five decades, doubling transistor density every two years, bringing higher performance, more functionality at lower cost. To maintain this trend, the industry implemented non-classical ways to continue on the scaling path. This started with innovation at the material level, then innovation at the device structure level demonstrating improved electrostatic control enabled by fully depleted (FD) devices (FIGURE 1). FD devices include planar FD-SOI, vertical FinFET or multi-gate device structures. FD-SOI is a great example of device scaling in the substrate era, where the engineered substrate provides the fully depleted structure that solves the variability challenge and enables body bias capabilities to meet the power/performance and cost requirements for low power consumer SoCs.

FIGURE 1. Technology migration history [2].

FIGURE 1. Technology migration history [2].

The semiconductor industry also has another key focus called More-Than-Moore. This new trend provides added non-digital functional diversification without necessarily scaling according to Moore’s Law. More- than-Moore technologies cover a wide range of domains, and there are numerous examples where advantages brought by substrate engineering enable better perfor- mance and more functionality. With the increasing demand for wireless data bandwidth and the emergence of LTE Advanced, new RF devices with higher levels of integration and more stringent specifications need to be developed. RF-SOI substrates are a great example of how engineered substrates play a major role in achieving the needed level of performance and integration. Two generations of High Resistivity SOI (HR-SOI) substrates compatible with standard CMOS processing were developed [3]. While Gen 1 HR-SOI is well suited for 2G and 3G requirements, Gen 2 HR-SOI enables much higher linearity and isolation meeting most stringent LTE Advanced requirements and thus is paving the way for higher levels of integration with better performance at an improved cost (FIGURE 2).

FIGURE 2. Soitec’s RF-SOI leadership from ideas to high volume manufacturing.

FIGURE 2. Soitec’s RF-SOI leadership from ideas to high volume manufacturing.

UTBB FD-SOI substrates

FD-SOI with ultra-thin Box, known as Ultra-Thin-Body and Box (UTBB) substrates, are an attractive candidate for extending Moore’s Law at 28nm and beyond while keeping the cost benefit from shrinking. UTBB FD-SOI devices represent an extension of the planar device archi- tecture demonstrating several advantages essential to low power SoCs.

FD-SOI devices have excellent immunity to Short Channel Effects (SCE) leading to improved sub-threshold swing and Drain-Induced Barrier Lowering (DIBL), and minimum Random Dopant Fluctuation (RDF), thanks to the undoped channel. This ensures lowest Vt variation [4,5], improves performance at lower Vdd as well as improves SRAM and analog mismatch and analog gain, allowing superior digital/analog co-integration [6].

UTBB FD-SOI devices combine the advantage of tuning the front gate and back gate work function [4] as well as enabling effective back bias capabilities for multi-Vt options (FIGURE 3). The back bias capability is a unique feature that enables Vt modulation for better trade-off of power and performance and can be effectively applied in a static or dynamic mode. Moreover, UTBB FD-SOI back bias capabilities show no degradation with scaling and offer a wider range of biasing versus bulk at no area penalty [5].

FIGURE 3. UTBB FD-SOI with Back Bias (Courtesy of ST Microlectronics).

FIGURE 3. UTBB FD-SOI with Back Bias (Courtesy of ST Microlectronics).

UTBB FD-SOI is a scalable technology supporting at least three nodes; 28nm, 14nm and 10nm (FIGURE 4A). The technology satisfies density/area, performance and power saving requirements without a disruptive change in device architecture and integration. Today, available foundry offerings demonstrate competitive performance at 28 & 22nm [1,7] and the technology is proven down to 10nm [8]. Scaling requires thinner SOI and BOX. In order to alleviate the constraints on SOI film thickness reduction, a scaling sequence based on different BOX layer thickness was proposed, FIGURE 4B [9]. SOI substrates with 25nm BOX are already in production and 10 nm BOX has been demon- strated. Furthermore, the substrate roadmap beyond 14nm includes substrate strain engineering providing the advantage of enhancing the carrier mobility independent of device pitch. This includes strained silicon directly on insulator (SSOI) or strained SiGe- On-Insulator (SGOI) [10].

FIGURE 4. (a) UTBB FD-SOI Device integration supporting three nodes (11) (b) SOI/BOX thickness scaling ensuring a DIBL of 100 mV/V or below down to 7 nm node [9].

FIGURE 4. (a) UTBB FD-SOI Device integration supporting three nodes (11) (b) SOI/BOX thickness scaling ensuring a DIBL of 100 mV/V or below down to 7 nm node [9].

FD-SOI devices are planar devices that are fully compatible with mainstream CMOS processing, designs and EDA tools, providing a faster time to market solution. In addition to fully leveraging conventional CMOS processes, FD-SOI process integration is simpler than bulk (FIGURE 5) [1, 12]. FD-SOI process saves several masks and process steps typically included for Vt tuning and for the integration of uniaxial stressors needed to boost performance in planar and FinFET bulk [13, 14]. Even with the drastically increasing lithography cost, such process simplifications more than compensate for the SOI substrate cost, resulting in a lower overall processed wafer cost [11].

FIGURE 5. 28nm FD-SOI vs. 28LP process (courtesy of ST Microelectronics).

FIGURE 5. 28nm FD-SOI vs. 28LP process (courtesy of ST Microelectronics).

While the vertical FinFET device features excellent gate control and high density/performance per area, it also requires a disruptive change in process and design resulting in higher cost and longer time to market. For applications that require the ultimate performance/ digital integration and large die size, vertical FinFETs are a good solution. For other applications that cannot afford the FinFET solution, such as cost sensitive low-mid end mobile consumer applications, FD-SOI is a great candidate for providing low power/high performance and more analog integration capabilities with the least process and design disruption for low cost and fast time to market. Furthermore, FD-SOI devices with back bias can operate at voltages as low as 0.35V [15,16] without area and costly design penalties making them excellent candidates for Ultra-Low Power (ULP) applications. FD-SOI devices consume less energy than bulk at the MEP (Minimum Energy Point) and maintain the smallest energy per cycle with higher operating frequency across the whole Vdd range [17, 18]. This makes UTBB FD-SOI technology a very attractive option for enabling ULP cost sensitive IoT applications.

Smart Cut enabling uniformity for Vt variability control

FIGURE 6: The Smart Cut process.

FIGURE 6: The Smart Cut process.

Optimization of the conventional Smart Cut process is essential for delivering ultra-thin SOI and BOX with well controlled wafer-to-wafer and within-wafer uniformity (FIGURE 6). The Smart Cut unique uniformity control relies on several key aspects of the process [19]: (a) A highly uniform thermal oxidation of a donor wafer to form the BOX (b) A conformal hydrogen implant through the oxide to define the separation plane in the Silicon (c) A high temperature anneal to eliminate the SOI roughness while keeping excellent on-wafer SOI uniformity (20). Developing an efficient smoothing process to eliminate the Si roughness is critical for ensuring low transistor Vt variability. This requires Si thickness monitoring across the entire range of the spatial frequency. As existing ellipsometry and AFM characterizations are necessary but not sufficient, Soitec developed Differential Reflective Microscopy (DRM) to address the 100um scale SOI roughness. Consequently, bridging the gap between ellipsometry and AFM and providing a complete picture of surface roughness crucial for controlling Vt variations at the transistors level (FIGURE 7).

FIGURE 7. SOI layer thickness control.

FIGURE 7. SOI layer thickness control.

As the FD-SOI substrate plays a key role in defining the device structure, substrate local and global thickness control is very important. This is especially true for UTBB FD-SOI devices, where the BOX thickness affects the efficiency of Vt tuning through back biasing, and the channel thickness uniformity and roughness influence the electrostatics of the device and Vt variation respectively. Today, Soitec guarantees volume production of SOI 12nm ±5Å and BOX 25nm ±10Å (6 sigma value, all sites, all wafers). When benchmarking variability; planar FD-SOI exhibits the best performance compared to Bulk technologies [4, 5]. Global variability is also reduced and maximum TSi dispersion (TSi,max) obtained on 300mm wafers is already satisfying the objective for Vt variability for advanced technology nodes [4].

High resistivity SOI substrates

The rapid adoption of new wireless standards and the increasing demand for data bandwidth requires RF IC designers to develop devices with higher levels of integration, meeting more and more stringent specification levels. The engineered substrates on which those devices are manufactured play a major role in achieving that level of performance. The improved high frequency performance of CMOS with process shrinks, and the availability of CMOS foundry technol- ogies on 200 or 300mm substrates has made it possible to have high volume fabrication of integrated Si based RF systems, including high quality passive devices [21,22] and RF switches and power amplifiers on SOI substrates [23]. Historically, switches and power amplifiers were built on gallium arsenide (GaAs) substrates. Since 2008, RF-SOI has progressively displaced GaAs and silicon-on- sapphire technologies by offering the best cost, area and performance for RF switches, and thus becoming the mainstream technology solution adopted by the majority of RF foundries [24].

Gen 2 HR-SOI engineered substrates

FIGURE 8. Silicon uniformity requirements to meet ITRS variability roadmap [4].

FIGURE 8. Silicon uniformity requirements to meet ITRS variability roadmap [4].

Typical SOI substrates do not have thick enough BOX to prevent the electrical field from diffusing into the substrate, inducing high-frequency signal losses, non-linearity and crosstalk which are detrimental to RF performance. To improve the insertion loss, harmonic distortion and isolation performance required for switches, the bulk base substrate of an SOI substrate was replaced by a high-resistivity base substrate known as Gen 1 HR-SOI. The adoption of Gen 1 HR-SOI wafers for RF applications has allowed monolithic integration of RF FEM, leading to smaller size, better reliability, improved performance and lower system cost [25, 26]. While first generation substrates are well suited for 2G and 3G applications, they suffer from the a parasitic surface conduction (PSC) layer induced under the BOX due to fixed oxide charges which attract free carriers near the Si/SiO2 interface. This drastically reduces the substrate effective resistivity by more than one order of magnitude, limiting the substrate capability in meeting the next step in performance for LTE advanced standards (FIGURE 9).

FIGURE 9. Gen 2 HR-SOI Substrate.

FIGURE 9. Gen 2 HR-SOI Substrate.

To address this intrinsic limitation, Soitec and Université Catholique de Louvain (UCL) developed a second gener- ation (Gen 2) HR-SOI substrate with improved effective resistivity as high as 10KOhm.cm (FIGURE 10). This was achieved by adding a trap-rich layer underneath the buried oxide to freeze the PSC. These traps originate from the grain boundaries of a thin polysilicon layer added between the BOX and high resistivity substrate [27]. The high resistivity characteristics of Gen 2 HR-SOI substrates are conserved after CMOS processing, enabling very low RF insertion loss (< 0.15 dB/mm at 1 GHz), low harmonic distortion (-40dB) along coplanar waveguide (CPW) transmission lines, and purely capacitive crosstalk close to quartz substrates (FIGURE 11). It was further demon- strated that the presence of a trapping layer does not alter the DC or RF behavior of SOI MOS transistors [28]. With second generation HR-SOI products, RF IC performance is further advanced meeting more stringent losses, coupling and non-linearity specifications (FIGURE 12) [25].

FIGURE 10. Measured effective resistivity of Gen 1 HR- SOI and trap-rich Gen 2 HR-SOI (TRSOI). Both use 10 kOhm.cm nominal resistivity handle Si substrate [25].

FIGURE 10. Measured effective resistivity of Gen 1 HR- SOI and trap-rich Gen 2 HR-SOI (TRSOI). Both use 10 kOhm.cm nominal resistivity handle Si substrate [25].

FIGURE 11. (a) Measured crosstalk comparing Gen 2 HR-SOI (TR SOI), Gen 1 HR-SOI and quartz [25] . (b) Measured harmonic distortion along a CPW line, on standard SOI (~ 10ohm.cm) and Gen 1 HR-SOI (~ 1 kOhm.cm) and Gen 2 HR-SOI (TR-SOI) (~ 10 kOhm.cm).

FIGURE 11. (a) Measured crosstalk comparing Gen
2 HR-SOI (TR SOI), Gen 1 HR-SOI and quartz [25] . (b) Measured harmonic distortion along a CPW line, on standard SOI (~ 10ohm.cm) and Gen 1 HR-SOI (~ 1 kOhm.cm) and Gen 2 HR-SOI (TR-SOI) (~ 10 kOhm.cm).

FIGURE 12. Harmonic two and three measured on CPW on commercially available versions of Gen 2 HR- SOI (eSi) substrates.

FIGURE 12. Harmonic two and three measured on CPW on commercially available versions of Gen 2 HR- SOI (eSi) substrates.

Because the trap-rich layer in Gen 2 HR-SOI substrates is integrated at the substrate level, additional process steps and consequently more conservative design rules are no longer needed, leading to a more cost effective process and a possible smaller die area per function. Gen 2 HR-SOI substrates now enable RF designers to add diverse on-chip functions such as switches, power amplifiers and antenna tuners with excellent RF isolation, good insertion loss and better signal integrity at lower cost than traditional technologies (FIGURE 13). It also brings clear benefits for the integration of passive elements, such as high quality factor spiral inductors [29], tunable MEMS capacitors [30], as well as reducing the substrate noise between devices integrated on the same chip. Beyond performance, RF-SOI offers a unique advantage to further reduce board area by integrating all FEM devices on the same die [3].

FIGURE 13. Gen 2 HR-SOI (eSi) substrates, advantages vs Gen 1 HR-SOI substrate.

FIGURE 13. Gen 2 HR-SOI (eSi) substrates, advantages vs Gen 1 HR-SOI substrate.

In addition to innovation at the substrate level, Soitec developed the characterization needed to predict the RF Harmonic Quality Factor (HQF) at the substrate level and before device/circuit manufacturing. The characterization method is based on spreading resistance profiling (SRP), which can predict the 2nd harmonic distortion (HD2) performance of a coplanar waveguide (FIGURE 14). This essential metrology step is used today throughout the Soitec product line to ensure Gen 2 HR-SOI SOI substrates provide the expected RF performance at the device level.

FIGURE 14. Harmonic Quality Factor (HQF) methodology.

FIGURE 14. Harmonic Quality Factor (HQF) methodology.

New substrates for new collaborations

As demonstrated, UTBB FDSOI and Gen 2 HR-SOI substrates are well positioned to address ULP IoT and mobile connectivity applications that will respectively require drastic power reduction and higher frequency bands at very low cost. Combining advanced CMOSprocess capabilities with the demonstrated benefit of engineered SOI substrates is paving the way for digital and RF integration for next generation cost sensitive integrated ULP mobile connected devices.

Furthermore, there are multiple examples where innovative substrate engineering can address roadmap challenges, enable further integration; provide differ- entiation in final product at a more efficient cost and footprint. Some examples of different application segments include: Photonics, Imaging sensors, advanced FinFET (TABLE 1).

Substrate Table 1

Looking beyond a wafer and an application, entering the substrate era requires critical partnerships across the entire ecosystem. This includes having an augmented collaboration along the value and supply chain, covering collaborations with material, equipment and substrate suppliers as well as collaborations with foundries, IDMs and fabless companies. Soitec greatly supports this model and believes in establishing strong collaborations to seed future critical innovations.

Conclusion

Engineered SOI substrates are now a mainstream option for the semiconductor industry adopted by several foundries. UTBB FD-SOI substrates enable planar fully depleted devices with full back bias capability to extend Moore’s Law at 28nm and beyond providing excellent power/performance/cost benefits. Gen 2 HR-SOI substrates enable FEM integration and higher linearity and isolation meeting stringent performance requirements for advanced standards at an improved cost. Combining advanced CMOS process capabilities with the demonstrated benefit of engineered SOI substrates is paving the way for digital and RF integration for next generation cost sensitive integrated ULP mobile connected devices. As such, engineered SOI substrates are well positioned to serve future integrated IoT applications.

Acknowledgement

The authors would like to thank Bich-Yen Nguyen and Eric Desbonnets for their valuable contribution and constructive discussions.

References

1. The International Telecommunication Union & Ericsson (2014)
2. YongjooJeon,2015FD-SOIandRF-SOIforum,http://www. soiconsortium.org/fully-depleted-soi/presentations/janu- ary-2015, Tokyo (2015)
3. Soitec,WhitepaperonRFsubstrates,http://www.soitec. com/pdf/Soitec_RF-SOI_Substrates_WP.pdf (2015)
4. O. Weber et.al., IEDM, p.1-4, (2008)
5. L.Grenouilletet.al.,IEDM,p.3.6.1-3.6.4,(2012) 6. S.LeTualet.al.,ISSCC,p.32-383,(2014)
7. M. Mendicino, S3S conference, http://semiengineering. com/time-to-look-at-soi-again/ (2014)
8. Qinget.al.,IEDM,p.9.1.1-9.1.4,(2014)
9. O.Faynotet.al.,IEDM,p.3.2.1–3.2.4.6–8,(2010)
10. W. Schwarzenbach et al., ICICDT, p. 1-4, (2012)
11. Nguyen, et. al., Advanced Substrate News, (2014)
12. P. Magashak et. al., DAC (2014)
13. J. Hartmann, FD-SOI Tech Symposium, http://www.soicon-
sortium.org/fully-depleted-soi/presentations/december-2012/
(2012)
14. P. Flatresse, S3S Conference short course, Monterey, 2013 15. P. Flatresse, ISSCC, p. 424-425, (2013)
16. H. Makiyama et. al, IEDM, p. 33.2.1 – 33.2.4, 2013
17. Mäkipää & Billiot, IEE 2013
18. N. Sugii et. al, J. Low Power Electron. Appl., 4, 65-76, (2014) 19. W. Schwarzenbach et al., ECS Trans., 45, p. 227 (2012)
20. W. Schwarzenbach et al., ECS Trans., 53, p. 39 (2013)
21. C. Didier, White Paper :RF-SOI Wafer Characterization,
Soitec (2015)
22. J.-P. Raskin et al., IEEE Trans. on Electron Devices, 44, p.
2252 (1997)
23. A. Larie et. al, ISSCC, p.48-50, 2015
24. Yole Développement: RF Filters, PAs, Antenna Switches &
Tunability for Cellular Handsets, (2014)
25. J. Le Meil, et. al., VSLI TSA, (2015)
26. J.-P. Raskin et. al., IEEE Trans Electron Devices, v.44, #12, p.
2252-2261, (1997)
27. D. Lederer and J.-P. Raskin, Solid-State Electronics, 47 (11),
p. 1927 (2003)
28. K. Ben Ali et. al, IEEE Trans. Electron Devices, v. 61,.#3, p.
722-728, (2014)
29. F. Gianesello et. al. SOI Conference, p. 119-120 , 2007 30. Y. Shim, IEEE MWCL, v. 23, # 12, p. 632-634, (2013)

MARIAM SADAKA is a Soitec fellow based in Austin, TX and CHRISTOPHE MALEVILLE is Senior Vice President, Digital Electronics Business Unit for Soitec, Grenoble, France.

Leti_Jean-Eric_MichalletBy Jean-Eric Michallet, Leti Vice President of Sales and Marketing

Smart devices for the Internet of Things are among the top three growth drivers for the semiconductor industry, but the IoT is a highly fragmented market where multiple applications have varying energy requirements.

Speaking at a session on “Consumer & Energy Efficiency” at the LetiDays annual event in Grenoble, France, Edith Beigné, a senior scientist in the Architecture, ID Design, and Embedded Software Department at CEA-Leti, said the fragmentation presents challenges for technology providers, because it is difficult and expensive to design a single chip for one application or to provide a software or hardware platform to cover each archetype.

Leti’s new Internet of Things platform, L-IoT, is designed to overcome the challenges of fragmentation by providing a complete, flexible ultra-low power solution with adaptable analog and digital building blocks globally optimized for high energy efficiency and that “sleep” when energy-supply is low. All functionality, except the sensors, is integrated on a single chip.

L-IoT: a Flexible Platform

LetiDays 2-1

Adaptive Always-Responsive/On-Demand, according to energy levels

Known as “Elliot”, the platform includes both an “always-on” subsystem and “on-demand” subsystem. For applications such as video surveillance, secure communications, data fusion and tracking and monitoring, for example, the “on-demand” system can be woken up to provide additional data, as needed.

The application may have a variety of power sources for the “on-demand” tasks, but energy harvesting is the preferred choice, Beigné said.

Silicon Impulse

Leti also recently introduced Silicon Impulse, a comprehensive IC technology platform offering IC design, advanced intellectual property, emulator and test services and industrial multi-project wafer (MPW) shuttles. The eight-member consortium supporting the platform offers leading-edge, hardware-and-software solutions, including embedded software dedicated to geo-location and people location, for instance; subsystems such as 3D multi-core and low-power CPU modules, and a wide range of ICs: FD-SOI, RF, sensors, mixed-signal, MEMS and NEMS and 3D devices.

Caroline Arnaud, head of the Platform and Design Center Department at Leti, said the platform supports 28nm FD-SOI now, and Leti is in discussions with GLOBALFOUNDRIES for access to 22nm technology next year.

From sensor fusion to context awareness

Vivian Cattin, Leti project Manager, outlined future consumer applications that context-awareness technology can provide. She summarized Leti’s ongoing work with InvenSense, the world’s leading provider of MotionTracking sensor system-on-chip (SoC) and sound solutions for consumer electronic devices. In 2014, the company acquired the Leti spinout Movea, which was widely recognized for its advanced software for ultra-low-power location, activity tracking and context sensing.

The continuing collaboration is focused on improving context awareness by combining data from a variety of sensors, including accelerators and gyrometers, with other sources, such as WiFi beacons and the GPS systems from a person’s mobile device, to not only locate the person but estimate his or her direction or trajectory. The application also can estimate the travel time to the destination.

Cattin said a next step, called “user-adaptive processing”, would combine additional sensors, including wearable devices, software that supports machine learning, and the user’s own cloud-based information to support new uses such as personal wellness tips.

Less energy, more powerful applications for consumers

Jean-Michel Goiran, IoT business-development manager at Leti, highlighted Leti programs and projects that provide more powerful applications for consumers in the Internet of Things era, while using less power.

Connected sensor nodes typically reserve two-thirds of available power in standby mode for the microprocessor, while 13 percent is used by the sensor, 11 percent by the radio, and 10 percent by the active microprocessor. “We need an ultra-low standby-power solution for sustainable and long-living IoT devices deployment,” he said.

Non-volatile memory will be a big part of the solution for better standby-power management, because its content doesn’t require periodic refreshing. Super directivity, which refers to very small antennas directing their signals in only one direction, are another energy saver for IoT applications. Mutualizing functions on a single sensor, such as C02 detection, ventilation, presence detection and fire alarms, also can significantly lower power demand. “You need energy for sensors, so the fewer sensors the better,” Goiran said.

Wired houses for energy efficiency and security

Joël Mercelat, chief technical officer at Delta Dore, described a fully connected house that provides enhanced security and maintains residents’ preferred heating/cooling and lighting preferences, while cutting energy use. These functions are automated, but also can be controlled be hand-held devices.

Read more from CEA-Leti: 

What chipmakers will need to address growing complexity, cost of IC design and yield ramps

 

IBM Research today announced that working with alliance partners at SUNY Polytechnic Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) it has produced the semiconductor industry’s first 7nm (nanometer) node test chips with functional transistors. The breakthrough underscores IBM’s continued leadership and long-term commitment to semiconductor technology research.

The accomplishment, made possible through IBM’s unique public-private partnership with New York State and joint development alliance with GLOBALFOUNDRIES, Samsung and equipment suppliers, is driven by the company’s $3 billion, five-year investment in chip R&D announced in 2014. Under that program, IBM researchers based at SUNY Poly’s NanoTech Complex in Albany are pushing the limits of chip technology to 7nm node and beyond to meet the demands of cloud computing and Big Data systems, cognitive computing and mobile products.

Developing a viable 7nm node technology has been one of the grand challenges of the semiconductor industry. Pursuing such small dimensions through conventional processes has degraded chip performance and negated the expected benefits of scaling — higher performance, less cost and lower power requirements. Microprocessors utilizing 22nm and 14nm technology power today’s servers, cloud data centers and mobile devices, and 10nm technology is well on the way to becoming a mature technology, but 7nm node has remained out of reach due to a number of fundamental technology barriers. In fact, many have questioned whether the traditional benefits of such small chip dimensions could ever be achieved.

The IBM 7nm node test chip with functioning transistors was achieved using new semiconductor processes and techniques pioneered by IBM Research. Developing it required a number of first-in-the-industry innovations, most notably silicon germanium (SiGe) channel transistors and extreme ultraviolet (EUV) lithography integration at multiple levels.

By introducing SiGe channel material for transistor performance enhancement at 7nm node geometries, process innovations to stack them below 30nm pitch and full integration of EUV lithography at multiple levels, IBM was able to achieve close to 50 percent area scaling improvements over today’s most advanced 10nm technology. These efforts could result in at least a 50 percent power/performance improvement for the next generation of systems that will power the Big Data, cloud and mobile era.

The 7nm node milestone continues IBM’s legacy of historic contributions to silicon and semiconductor innovation. They include the invention or first implementation of the single cell DRAM, the Dennard Scaling Laws, chemically amplified photoresists, copper interconnect wiring, Silicon on Insulator, strained engineering, multi core microprocessors, immersion lithography, high speed SiGe, High-k gate dielectrics, embedded DRAM, 3D chip stacking and Air gap insulators.

IBM and SUNY Poly have built a highly successful, globally recognized partnership at the multi-billion dollar Albany NanoTech Complex, highlighted by the institution’s Center for Semiconductor Research (CSR), a $500 million program that also includes the world’s leading nanoelectronics companies. The CSR is a long-term, multi-phase, joint R&D cooperative program on future computer chip technology. It continues to provide student scholarships and fellowships at the university to help prepare the next generation of nanotechnology scientists, researchers and engineers.

Related news: 

IBM announces $3B research initiative

GLOBALFOUNDRIES completes acquisition of IBM Microelectronics business

By Jeff Dorsch, Contributing Editor

While the lithography equipment market sometimes seems like A Tale of Two Cities, it’s more complicated than that. The basic fact is that the semiconductor industry is soldiering on with 193-nanometer immersion lithography technology and multiple-patterning exposures while extreme-ultraviolet lithography continues its long-aborning development.

ASML Holding is the leading vendor in the EUV lithography field, and it’s also a big supplier of 193nm immersion lithography systems. The industry consensus now seems to be that the near future will see the combined use of EUV and immersion, possibly at the 10-nanometer process node and definitely at the 7nm node. Beyond that, it’s anyone’s guess.

ASML had big news to reveal at the SPIE Advanced Lithography Symposium in February. Taiwan Semiconductor Manufacturing had successfully exposed 1,022 wafers within 24 hours on ASML’s NXE:3300B EUV system, with sustained power of more than 90 watts from the scanner’s power source.

In April, ASML reported that “one of its major U.S. customers” had agreed to order at least 15 EUV systems. Industry speculation on the unidentified customer quickly centered on Intel. The Dutch company has been relatively quiet since then.

Hans Meiling, ASML’s vice president of service and product marketing EUV, notes the progress that the company has made in the past year, but didn’t offer any new information on its EUV program. ASML’s EUV scanners will be “meeting production requirements within a couple of years,” he says.

“We want to get to 70 percent availability and 1,000 wafers per day,” Meiling says, and not just in a one-day test at TSMC. The goal is to provide that kind of productivity and throughput for all EUV customers, he adds.

In 2016, ASML is aiming for a daily throughput of 1,500 wafers, according to Meiling. “We have a large program internally to support that,” he says.

To make its EUV scanners productive and production-ready, ASML has developments on several fronts, Meiling notes. “It’s a multifaceted introduction of not only the scanner,” he says, taking in photomasks, photoresists, and pellicles.

Progress has been made in detecting and reducing defects in EUV mask blanks, Meiling reports. It seems likely that Intel, Samsung Electronics, and TSMC will each make their own EUV masks, he says.

When it comes to resists, “we don’t control the ecosystem,” Meiling says. “We’re monitoring this.” Resist suppliers are “continually improving critical-dimension quality” and providing “faster resist without losing the imaging capability,” he states.

Even “beautiful masks,” near-perfect photomasks, “have to have a pellicle to protect them,” Meiling observes. “Light goes through the pellicle twice,” he notes, and the pellicle’s membrane must be very thin as a result. ASML began work on a EUV pellicle two years ago and has developed a removable pellicle. The company has achieved “full mask coverage” with its pellicle and is going through an initialization phase on producing them, according to Meiling.

The ASML executive ticks off the attributes of EUV – single exposures of chips, reduction of process complexity, and the capability to deal with the complexity of chip layers. “Customers are finding out with multipatterning, it’s becoming more and more difficult,” Meiling says. “It’s very difficult for certain layers in the chip stack.”

For all the publicity about EUV, ASML is constantly improving its deep-ultraviolet lithography scanners as well, he notes. “Immersion is our workhorse,” Meiling says. “We’re tightening requirements brought to us by customers.”

Stefan Weichselbaum, ASML’s director of product marketing DUV, says the company is committed to “holistic lithography” – looking beyond scanner performance and integrating a metrology environment. Most of all, ASML wants to keep DUV/immersion machines affordable, and “the most simple thing we can do is improving the output,” he says.

Currently capable of processing 250 wafers per hour, the NXT:1980 scanner will be boosted to 275 wafers per hour during the second half of this year, according to Weichselbaum. Among other improvements, ASML has debuted feed-forward corrections, reticle cooling, and wafer-by-wafer correction for higher-order reticle distortion in the NXT:1980. “If we can manage it through software, we will,” he adds.

Donis Flagello, president, CEO, and chief operating officer of Nikon Research Corporation of America, acknowledges that immersion with co-exist with EUV at some point, as ASML and others contend.

“EUV is probably not going to go away,” he says, while adding, “It’s not going to take over.”

Nikon does analysis on EUV technology and the state of the art in immersion lithography; the company is focused on 193nm and “pushing to get the costs down,” Flagello says.

“Demand is still strong” for 193nm machines, he reports. “The entire Internet runs on semiconductors.” Still, “the semiconductor industry is mature” and consolidating, Flagello says. “We can see it in conferences.”

Immersion lithography presents its own challenges in masks and resists, the Nikon executive notes. “We can afford to pump more power into the system,” Flagello says. “We have to control the lenses better.”

While EUV has a long, well-known history of delays and problems, the industry transition to 193nm lithography wasn’t an easy one, either, according to Flagello. “There was lots of stuff we didn’t expect,” he says.

There are alternatives to 193nm and EUV lithography, such as directed self-assembly, direct-write electron-beam, and nanoimprint lithography. DSA “would be complementary” to the mainstream lithography technologies, and the others have their disadvantages, Flagello says.

An Steegen, imec’s senior vice president of process technology, says, “Multipatterning is the most cost-effective way.” With “cheaper materials,” the costs of multipatterning can be further reduced, and “there are lots of efforts here at imec and our suppliers,” she adds.

Immersion lithography can be extended to the 10nm and 7nm process nodes, Steegen says. With EUV, “you can replace multipatterning exposures with one exposure,” she notes.

The industry roadmap calls for EUV insertion into production in 2017, Steegen says. EUV source power is “almost everywhere running at 80 watts,” she adds, and uptime has been improved. “The whole EUV ecosystem is coming together,” Steegen notes, with progress in EUV photomasks and photoresists.

Directed self-assembly is “a complementary patterning technology,” the imec executive says. “We always keep an eye on all the alternatives.” While imec has succeeded in improving DSA, “we are not having huge activities around these areas,” such as multi-beam E-beam and nanoimprint, Steegen says.

“We’re getting smarter, combining multipatterning and EUV,” she adds.

One issue that concerns her is the use of FinFETs in current and future process nodes. “How far can we push those? When will they break?” she asks. “How tall can we make the FinFET? Beyond 5 nanometers? The taller, the better.”

Another area where lithography is progressing is in the field of advanced packaging. Doug Anberg, vice president of advanced stepper technology at Ultratech, says wafer bumping and other packaging technologies are “still progressing forward. We’re seeing a lot of activity in that area.”

Thomas Uhrmann, director of business development for EV Group, says “there is a lot of traction” in lithography for advanced packaging. His company plans to exhibit a nanoimprint platform tool at SEMICON West, intended for making light-emitting diodes and Internet of Things devices.

In summary, there are lots of developments in lithography, along with lots of challenges and lots of questions. And so it goes.

By Christian Dieseldorff and Lara Chamness, SEMI

We, in the semiconductor supply chain, are constantly immersed in detailed numbers. It’s important to pull back and look at the major trends that have profoundly changed and are reshaping our industry.

Data from SEMI World Fab Forecast reports

1997

2002

2007

2012

2017

Global Volume Fab Count
Number of Fabs WW 

682

802

849

861

864

Number of Fabs WW (excluding discrete and LED)

472

508

499

440

440

Global Volume Fabs by Wafer Size
Number of volume 200mm fabs (excluding discrete and LED)

111

170

173

152

149

Number of volume 300mm fabs (excluding discrete and LED)

0

13

62

81

109

Global Fab Capacity by Device Type
Fab Capacity (200mm equiv. thousand wafer starts per month)

5,655 

7,519 

15,441 

18,068 

20,609 

Memory

20%

19%

36%

29%

27%

Foundry

13%

19%

18%

27%

30%

MPU&Logic

35%

31%

22%

17%

16%

Analog, Discretes, MEMS & Other

32%

31%

24%

27%

26%

Largest Regional Fab Capacities
Fab Capacity Regional Trends (excluding discrete and LED)

Largest installed capacity

Japan

Japan

Japan

Japan

Taiwan

Second largest installed capacity

Americas

Americas

Taiwan

S. Korea

S. Korea

Third largest installed capacity

Europe

Europe

S. Korea

Taiwan

Japan

Source: SEMI (www.semi.org) 

The table shows that the largest increase of new fabs occurred in the time frame from 1997 to 2002 with 18 percent growth rate. The growth rate drops to 6 percent from 2002 to 2007, 1 percent from 2007 to 2012 and flat from 2012 to 2017. This drop in change rate does not mean that there are no new fabs being built but is explained by fabs closing. There are still new fabs being built ─ especially for 300mm ─ but the rate of fabs closing is overshadowing this fact. From 2007 to 2012 alone over 150 facilities closed with majority from 2008 to 2010.

With the rise of 300mm at begin of the millennium we see a rapid increase of 300mm fabs from 2002 to 2007 with 380 percent and at the same time a decrease of new 200m fabs from 50 percent to 2 percent. From 2007 to 2012 more 200mm fabs were closed but this trend is slowing. With emerging IOT demand, 200mm fabs will be part of the capacity mix for the foreseeable future.

Fueled by the fabless or “fab lite” movement, we see that the foundry era has a strong and steady growth since begin of its era in the 90s. By 2017, foundry capacity will have surpassed memory with 30 percent of the total capacity.

Both foundry and memory mainly use 300mm wafers which contribute to the large increase in capacity. The other sector MPU & Logic uses mainly 300mm but there are still fabs with wafer sizes of 200mm or less. While the Logic sector is increasing in capacity with System LSI applications, we see a decline for MPU which contributed to the decline in share.  Although we see an increase of capacity for sensors and analog/mixed signal, the sector combined as “Analog, Discretes, MEMS & Others” shows modest growth mainly because the wafer sizes used are 200mm and below which contributes to the less share of capacity.

For decades Japan was the leader in installed capacity which will have changed by 2017 when Taiwan will have taken over the highest capacity spot.  Japan is restructuring business models and approaching a more fab-lite to fabless model.  Korea is mainly driven by Samsung and is benefitting from the mobile business using memory and System LSI chips.

For more information on market data, visit www.semi.org/en/MarketInfo and attend an upcoming SEMICON: SEMICON West 2015 (July 14-16) in San Francisco, Calif; SEMICON Taiwan 2015 (September 2-4) in Taipei, Taiwan; SEMICON Europa 2015 (October 6-8) in Dresden, Germany; SEMICON Japan 2015 (December 16-18) in Tokyo, Japan.