Wafer Processing

WAFER PROCESSING ARTICLES



Singapore IME launches 2.5D silicon interposer MPW

12/19/2012 

Singapore's Institute of Microelectronics (IME) has launched a new multiproject wafer service for 2.5D through-silicon interposers, to provide a cost-effective platform for R&D prototyping and proof-of-concept in the technology.

Canon stepper targets LED, MEMS, power device manufacturing

12/18/2012 

Canon U.S.A., Inc. recently launched the FPA-3030i5+ i-line stepper, designed for the manufacturing of LEDs, MEMS and power semiconductors.

Samsung reaffirms plans for $4B investment in Austin fab: What it means

12/17/2012 

Samsung's reaffirmation of its planned $4B investments in its Austin, TX facilities don't offer much extra info, but do serve as a message to the market about its future plans -- with or without Apple.

Tezzaron licenses Ziptronix's bonding tech for 3D memory

12/17/2012 

Tezzaron Semiconductor has licensed patents regarding Ziptronix's direct bonding technologies, "direct bond interconnect" (DBI) and "direct oxide bonding (ZiBond), for use in 3D memory.

Process Watch: Cycle time’s paradoxical relationship to inspection

12/11/2012 

In the seventh installment in a series called Process Watch, the authors discuss cycle time and the impact of inspection. Authored by experts at KLA-Tencor, Process Watch articles focus on novel process control solutions.

IEDM 2012: Late papers on silicon photonics, large TFTs, III-V devices

12/11/2012 

With this week's IEEE International Electron Devices Meeting (IEDM 2012) now underway, here are four of the papers that were accepted late: on 90nm integrated silicon photonics, ZnNO for next-gen displays, and III-V TFETs for the 7nm node.

IEDM: Nanoelectronics provide a path beyond CMOS

12/11/2012 

At the International Electron Devices Meeting in San Francisco, An Chen of GLOBALFOUNDRIES presented a survey of emerging nanoelectronic devices, which he divided into two categories: Charge-based and non-charge based.

IEDM keynote: It tastes like chicken

12/11/2012 

Attendees at this year’s International Electron Devices Meeting (IEDM) were delighted and perhaps somewhat horrified when the plenary speaker popped some electronics gear in his mouth and proclaimed, “It tastes like chicken!”

ST exiting mobile chip JV with Ericsson, but still committed to FD-SOI

12/10/2012 

STMicroelectronics has a new strategic plan that will focus on five product areas (MEMS/sensors, smart power, automotive products, microcontrollers, and application processors), and also exit its mobile chip JV with communications giant Ericsson.

SEMI: Chip equipment slump extending into 2013, across-the-board rebound in 2014

12/06/2012 

Semiconductor equipment demand is persistently sluggish as the industry takes a break from a "multiyear expansion period" to digest recent investments and wrestle with a broader economic slowdown, acknowledges SEMI in its updated year-end forecast. But make no mistake: leading-edge technology investments are still happening, and growth will return in the typical cyclical pattern.

Axcelis and Lam enter strategic collaboration agreement

12/06/2012 

Axcelis Technologies, Inc. (NASDAQ: ACLS) and Lam Research Corp., (NASDAQ: LRCX) announced a strategic collaboration agreement focusing on the interrelationship between ion implantation, etch processes, and photoresist strip applications.

KLA-Tencor's updated LED wafer inspection tool boosts throughput, efficiency

12/06/2012 

KLA-Tencor says its new fourth-generation LED wafer inspection system achieves greater flexibility, increased throughput, and improved efficiency for inspecting defects and performing 2D metrology in LED applications, as well as MEMS and semiconductor wafers.

CMP consumables demand rebounding in 2012

12/05/2012 

The global market for consumables used in chemical mechanical planarization (CMP) operations continues to rebound, as "magic triangle" combinations of slurry, pad, and conditioner are emerging to improve performance for ≤45nm requirements, reports TechCet Group.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts