Managing legacy fabs and the role of secondary equipment

JULIAN GATES and TIM JOHNSON, AG Semiconductor Services; and
DARRELL McDANIEL, NSTAR Global Services, M+W Group.


The choice between buying new systems from OEMs or fully capable refurbished gear from qualified used equipment vendors is examined.

A significant portion of semiconductor production continues to take place in facilities equipped with 200mm or smaller equipment, which run processes for analog, mixed-signal, power ICs, and other mature device types. Recent data from Semico shown in FIGURES 1 and 2 reveal that 39% of the silicon consumed is devoted to 200mm, with another 9% going for wafers of <200mm, while technology nodes of 130nm and above account for approximately 50% of the silicon used.

Managers of these legacy fabs must balance tight process and time-to-market requirements with limited capital and operational budgets to stay competitive with low-cost producers. As the major original equipment manufacturers devote more of their budgets to 300mm tooling (or even 450mm) and invest less into their 200mm efforts, older semiconductor factories need high-quality sources of used systems and components as well as the tribal process knowledge that goes with them. The emergence and growth of the market for refurbished 300mm production tools has added even more complexity and challenges.

figure1
FIGURE 1. 39% of the silicon consumed is devoted to 200mm, with another 9% going for wafers of <200mm.
figure2
FIGURE 2. Technology nodes of 130nm and above account for approximately 50% of the silicon used.

After growth fueled by thousands of tools coming on the secondary market in the mid- to late 2000s followed by an extended recession-fueled downturn, the secondary equipment market is seeing increased order activity and is expected to grow into a $3 billion-plus sector this year (see FIGURE 3). During this cycle, the companies specializing in procuring, modifying, reconfiguring, refurbishing, installing, qualifying and offering ongoing warranty and service support for those thousands of pieces of process equipment have become key partners with the likes of Texas Instruments, GLOBALFOUNDRIES, ON Semiconductor, STMicroelectronics, Fairchild, Maxim, Tower, and other IDM, foundry and OEM firms.

High quality, low cost
One key question facing legacy fab operators is how to upgrade their processes and factories or expand their capacity in a timely and cost-effective manner. When there is a choice between buying new systems from OEMs or fully capable refurbished gear from qualified used equipment vendors, whether the mission is to convert from a 150mm to a 200mm line or push to a more advanced technology node, the difference in price can range from hundreds of thousands of dollars to a few million dollars per tool for big-ticket systems such as lithography steppers and scanners. The price tag for secondary equipment ranges from as little as 20-30% of what a new tool would cost, depending on the age, condition, availability, and provenance of the system, to a tighter 70-80% of the new-tool price. In some cases, fab management may have to choose between different pieces of used gear, as the OEM either does not manufacture those particular 200mm systems or is no longer in business.

Considering the risk-averse personalities of most fab engineers, they need to be assured that any tool, new or used, that they bring onto their factory floor will produce the level of product needed, at profitably high yields and a compelling cost of ownership and productivity metrics. They also want to see lead times for equipment delivery, installation and qualification narrowed as much as possible. This means secondary equipment providers must now offer a detailed and exhaustive evaluation of any tool that comes into inventory, working up a detailed configuration, baseline and dataset for prospective buyers. To meet demand, suppliers often maintain large inventories in cleanroom-equipped warehouses located in strategic global locations that can provide and qualify fully process capable equipment in a matter of weeks not months (FIGURE 4). The old model of aggressively brokered, “what you see is what you get” used equipment of unknown condition sitting in unopened containers has been replaced by a value-added, collaborative approach that brings new tool-like quality and reliability to the secondary space as well as a dedicated support team of experts with deep knowledge about older equipment and processes.

figure3
FIGURE 3. The secondary equipment market is expected to grow into a $3 billion-plus sector this year.
figure4
FIGURE 4. Used semiconductor equipment in AG Semiconductor Services’ Nijmegen, The Netherlands refurbishing facility.

Exceeding specifications
Despite the increased quality of secondary equipment and related services, there are still instances when process engineers might be taken out of their comfort zone and must be convinced that the used solution will meet or exceed requirements. A recent example involved the acquisition of surplus toolsets from a leading-edge IC manufacturer by a secondary equipment company, which then sold the systems to a 200mm foundry operation seeking to expand its capacity. The original specifications of the tools indicated that they would be functional up to the 180nm process node, but the previous owners of the equipment had successfully extended the equipment capability down to at least the 130nm node. After their initial reticence, the foundry engineers were convinced of the refurbished tools’ enhanced capability through a combination of compelling performance data such as etch and via depth results as well as domain expertise and consultation provided by the secondary equipment provider. The resulting upgrade saved the customer millions of dollars in avoided new capital equipment expenditures.

Spare parts conundrum
A study on 200mm equipment obsolescence conducted by Semico for ISMI focused on issues surrounding legacy fabs’ tool issues. As TABLE 1 shows, chief concerns of the chipmakers, OEMs, and secondary tool companies include the inability to find replacement parts at a reasonable price, the struggle to get equipment documentation that includes part specifications and equipment schematics, and the difficulty in notifying customers when a part is being discontinued because of the large number of parts to keep track of. In addition to the general issue of parts obsolescence, other underlying causes can be tracked back to the OEMs use of subcontractors and subsequent loss of control over their spares inventory, as well as the general trend of consolidation among the process equipment manufacturer ranks and premature exit from active 200mm development and production. One industry group, the Fab Owners Association, has come up with a method to alleviate spare parts shortages, by maintaining a network of fab managers who share parts when they can with other members of the group who can’t wait for new parts to be produced and delivered.

table1
Table 1: Legacy fab equipment issues (Source: Semico Research, 200mm Equipment Obsolescence Study).

The used semiconductor equipment sector has evolved significantly in recent years. Texas Instruments’ purchase of Qimonda’s 300mm fab equipment for dimes on the dollar in 2009 and subsequent conversion and qualification of tools originally designed for memory chip production for use on its own analog RFAB lines forced competitors and others in the industry to look more seriously at making the 200- to 300mm wafer-size transition in their own operations. Duke characterized the 200mm secondary market as “the new 150mm,” as fewer new systems are made, and engineering and sourcing efforts become more difficult to keep legacy parts available.

Mix and match, ‘more than Moore’ and more
Despite the challenges of equipment and parts availability and obsolescence, some industry experts are bullish on the potential for using refurbished equipment at more demanding technology nodes, seeing used tools as capable of playing a key role in converting a 130nm LSI line into one that processes 60nm and even 45nm devices. Kato provided a step-by-step explanation of his “mix-and-match” philosophy, where he stated that 180 used tools, or 67% of a total toolset, could be deployed to produce 60nm requiring 29 masks. A dozen tools would require remanufacturing upgrades and 82 tools (30%) would need the latest technology only available on new tools. He noted that certain metal layers and N- and P-well implantation could be done with used tools, while other layers requiring fine patterns would need new equipment, and several steps could be carried out with a blend of new, used, and remanufactured tools. Many of the processing steps in his 60nm example can be accomplished with readily available secondary CMP, CVD, etch, and wet processing systems. 3-D interconnect and packaging lines also provide a market for repurposed wafer-processing gear.

Bignell discussed how STMicro has evolved and repurposed older fabs for “more than Moore” chip designs using “derivative technology.” In cases where process steps were similar, an existing toolset could be deployed, modified or upgraded, such as the example of converting an older wet bench to a new process chemical mix. Equipment from other sites has been transferred to the repurposed fabs, such as the case where a decommissioned front-side PVD system was used for backside metallization. In addition to adapting and optimizing fab layouts and facilities, the purchase of 200- and 300mm second-hand tools whenever possible as well as the acquisition of new equipment featuring new capabilities continue to be central tenets of the manufacturer’s life-cycle extension strategy.

An area of future growth in the secondary equipment market will come from customers, many in regions of the world with little or no domestic semiconductor manufacturing base, that want to establish at least an R&D, pilot, or other start-up facility and may seek a complete fab. An integrated “super turnkey,” soup-to-nuts solution for this kind of project features an experienced secondary equipment company that can leverage a large 200mm tool inventory, an engineering, procurement, and construction partner that that can design and build a new facility or retrofit an existing one, and highly trained equipment and process engineering teams that provide infrastructure and other support functions.

Conclusion
As more of the secondary equipment market segues from 200mm to 300mm demand and applications, the lessons learned over the past few years will help ease the transition, lowering engineers’ anxiety levels and providing a cost-effective, process-centric strategy for extending fab and product lifetimes.

Acknowledgment
The authors would like to thanks Joanne Itow of Semico Research for her valuable insights to this article. •

Bibliography
Bignell G, “Extending the Life of 200mm Fabs and the Re-Use of Second Hand Tools,” presented at Secondary Equipment and Technology session, Semicon Europa 2012.
Chappell J, “Trickle Down Equipment Economics,” Semiconductor Manufacturing & Design, May 2013.
Duke D, “Used Equipment Market,” presented at Productivity Innovation: Reducing Cost and Improving Performance at 200mm/300mm Wafer Fabs forum, TechXpot, Semicon West 2013.
Gates J, “2013: Outlook for Secondary Equipment,” Solid State Technology, January 2013.
Itow J, Semico Research, private interview, August 2013.
Kato T, “New Dynamics Changing the Secondary Equipment Market,” presented at Used Equipment business seminar, Semicon Japan 2009.
Ploss W, “300mm for Analog Technologies,” presented at Secondary Equipment and Technology session, Semicon Europa 2012.
Semico Research, “200mm Equipment Obsolescence” study for ISMI.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

3 thoughts on “Managing legacy fabs and the role of secondary equipment

  1. Bill Kohnen

    Working with a solid full service independent company for refurbished equipment is the best total cost and lowest risk solution for 200mm and below fabs. Occasionally there may be opportunistic situations where other options might work out but it is worth the effort for fabs to cultivate a good relationship with a third party company and have them work on your behalf.

    Below is a link to a discussion on the subject I was part of that puts some numbers forward for guidance and a framework for decision making.

    http://www.slideshare.net/wkohnen/semiconductor-equipment-urchasing-strategies-for-200mm-and-below

  2. Christopher Norton

    Our firm, Wafer Inspection Services, Inc. has been supporting Semiconductor Legacy Metrology tools since 1986.

    We support:
    KLA-Tencor
    ADE
    Rudolph
    Thermawave
    Prometrix
    Hardware; Applications; Per call billable services; PMs; Block of hours; refurbishment; audits; installs
    Exclusive contract with JDS for lasers used on the KLA-Tencor Surfscan 6000 series; SP1; SP1-TBi
    Spares; consumables; training
    508-944-2851

  3. Pingback: OEM Provided Secondary Fab Equipment – The Lower Risk Investment | Cascade Microtech, Inc.

Comments are closed.