Featured Content




Watson-Marlow acquires Flexicon A/S

02/26/2008  February 26, 2008 -- /WILMINGTON, MA/ -- Watson-Marlow, the world's leading peristaltic pump manufacturer for the biopharmaceutical industry, has acquired Flexicon A/S, a leading manufacturer of peristaltic-based aseptic filling systems, through its parent company Spirax-Sarco Engineering. The acquisition includes Flexicon America, the company's U.S. based subsidiary.

Kleerdex attains exclusive use of antimicrobial additive Microban

02/26/2008  February 26, 2008 -- /BLOOMSBURG, PA/ -- Kleerdex, CO., LLC, manufacturer of KYDEXR thermoplastic sheets, announces it has attained the exclusive right to produce thermoplastic sheets with MicrobanR, an antimicrobial product protection for the production of healthcare equipment enclosures and airline interior components.

Millipore Corporation signs license agreement with Bayer HealthCare AG

02/26/2008  February 21, 2008 -- /BUSINESS WIRE/ -- BILLERICA, MA -- Millipore Corp. today announced that it has entered into a worldwide, non-exclusive license agreement with Bayer HealthCare AG, a subsidiary of Bayer AG, to use Millipore's UCOETM (ubiquitous chromatin opening element) technology to manufacture its biologic drugs.

HCM announces substantial growth in 2007

02/26/2008  February 26, 2008 -- /PRNewswire/ -- BURLINGTON, MA -- Hyaluron Contract Manufacturing, a leading provider of pharmaceutical development services, continued to expand its business in 2007 with revenues increasing greater than 75 percent and staffing increasing greater than 60 percent to support past and anticipated future growth.

Charges filed in contaminated pet food scheme

02/26/2008  February 26, 2008 -- /FDA/ -- FDA's Office of Criminal Investigations announced that two Chinese nationals and the businesses they operate, along with a U.S. company and its president and chief executive officer, were indicted by a federal grand jury on Feb. 6, 2008.

Materials for thin-film and organic photovoltaics will reach $3.8B by 2015

02/26/2008  February 26, 2008 -- /GLEN ALLEN, VA/ -- Materials for use in thin-film and organic photovoltaics will reach $3.8 billion by 2015, according to a new study by industry analyst firm NanoMarkets.

ASHRAE building design professional certification now available

02/26/2008  February 26, 2008 -- /ATLANTA, GA/ -

Double patterning will challenge litho, metrology, push feedback, computation

02/26/2008  by Bob Haavind, Editorial Director, Solid State Technology
Feb. 26, 2008 - Plenary talks at this week's SPIE Advanced Lithography Conference reviewed the road ahead for lithography, from how far 193nm immersion can be pushed (probably 32nm, helped double patterning, new lens materials/fluids, and 3D) to the projected readiness of an EUV infrastructure (maybe by 2010-2012), and the progress and stalls in ongoing work to achieve success in both areas.

Equipment supplier Rudolph joins chipmakers in Sematech nanoelectronics program

02/26/2008  Rudolph Technologies Inc. has become the first semiconductor equipment supplier company to join Sematech's Metrology Program headquartered at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany in New York.

Microfluidic Systems picks up more partners for micro bioagent detector

02/26/2008  Microfluidic Systems Inc. has signed agreements with Applied Biosystems and Hamilton Sundstrand for continued development and production of MFSI's microfluidics-based Bioagent Autonomous Networked Detector (BAND).

ANALYSIS: KLAC-ICOS makes sense, pending typical M&A quirks

02/26/2008  by James Montgomery, News Editor, Solid State Technology
Feb. 26, 2008 - The proposed combination of KLA-Tencor and ICOS Vision Systems makes sense for both sides, both financially and in market positioning, though as always some questions need to be answered about just how smooth any such M&A will be.

Bede: Buyout offer on, then off the table

02/26/2008  Feb. 26, 2008 - In the span of a week, UK-based Bede, a provider of X-ray metrology tools, said that it had finally received an offer from a suitor after being first approached last summer, but that the offer was below current market value, and now the deal's off.

Georgia Tech orders STS DRIE Tool for Nano and MEMS Research

02/26/2008  Surface Technology Systems (STS)announced that Georgia Institute of Technology (Georgia Tech) ordered a Pegasus Deep Reactive Ion Etch (DRIE) tool for their new Nanotechnology Research Center Building (NRCB), which is due for completion in fall 2008. Georgia Tech is the first university to acquire the latest generation of STS' advanced silicon etch (ASE) systems.

January 2008 Book-to-Bill Edges Up to .89

02/26/2008  While the book-to-bill ratio has risen steadily to 0.89 since September 2007, when it dipped to .79, billings themselves are still 7% less then December 2007, and 12% less than a year ago, according to the January 2008 Book-to-Bill Report published by SEMI.

How TI plans to go from 'fab lite' to 'fab-lite-r', while boosting analog

02/26/2008  by Bob Haavind, Editorial Director, Solid State Technology
Feb. 26, 2008 - Texas Instruments' external development/manufacturing VP Thomas Thorpe gave an enlightening address about the ongoing benefits derived from the company's 'fab-lite' strategy at the recent Strategic Materials Conference in Half Moon Bay, CA. No more advanced CMOS wafers and 32nm work is going on inside TI's own fabs -- but he left door open that they might return in the future.

Gauda harnesses graphical processor units for OPC

02/26/2008  by M. David Levenson, Editor-in-Chief, Microlithography World
Feb. 26, 2008 - Have you ever wished that computational lithography could be more like a videogame? Gauda, a Sunnyvale, CA, startup decloaking at this week's SPIE's Advanced Lithography Symposium is offering to make it so, at least for optical proximity correction and verification (OPC and OPV).

SPIE NEWS: Gigaphoton opens US office, squaring off on Cymer's turf

02/26/2008  Feb. 26, 2008 - The war between two rival international lithography source providers has reached US shores. Gigaphoton says it is expanding its presence in the US with a new subsidiary in Beaverton, OR, following recent customer wins in the US region that represents one-fifth of the worldwide market.

SPIE NEWS: Rohm&Haas, IBM to make 32nm implant materials

02/26/2008  Feb. 26, 2008 - Rohm and Haas Electronic Materials and IBM have agreed to jointly develop patterning materials and processes to enable implant at and below the 32nm node.

SPIE NEWS: SEMATECH, Carl Zeiss finalize design for DP photomask metrology system

02/26/2008  Feb. 26, 2008 - Carl Zeiss and SEMATECH say they have completed final design for a next-generation photomask registration and overlay metrology system, dubbed "Prove," that will enable production of advanced photomasks "with substantially improved image placement accuracy," eyeing in particular the tighter placement control required for double-patterning technology.

SPIE NEWS: HamaTech, SEMATECH tout EUV mask blank cleaning work

02/26/2008  Feb. 26, 2008 - HamaTech says its advanced modular processing platform, MaskTrack, has achieved "all critical SEMATECH roadmap milestones" for cleaning EUV mask blanks, with demonstrated successful removal of all particles at 30nm and greater, as well as "a number of" 10nm defects, seen as necessary for 22nm semiconductor manufacturing processes.