Featured Content




IMEC adds double-patterning to 193nm immersion, EUV litho efforts

07/12/2006  July 12, 2006 - European research consortium IMEC has extended its research program for 193nm immersion lithography to include double-patterning techniques to address needs of leading-edge process technologies, particularly for flash memory devices. The program now runs parallel to IMEC's other lithography efforts targeting hyper-NA immersion and extreme-ultraviolet lithography (EUV).

Tessera to Purchase Digital Optics

07/12/2006  Tessera Technologies, Inc. has signed a definitive agreement to purchase Digital Optics Corporation, expecting to close the agreement by Q'03 2006. Tessera estimates the purchase, which includes all outstanding Digital Optics equity, to be worth about $59.5 million U.S. cash.

Honeywell hikes titanium mill output

07/12/2006  July 12, 2006 - Honeywell Electronic Materials said it has increased production of high-purity titanium mill by 45% this year, to meet rising demand from the semiconductor industry for use in equipment such as physical vapor deposition (PVD) products.

SEMI hikes 2006 equipment forecast, but 2007 now seen flat

07/11/2006  July 11, 2006 - Semiconductor growth has been very strong in 2006 so far, with "tremendous" demand for tools, particularly in North America, according to SEMI's Stanley Myers, discussing the group's midyear outlook for semiconductor equipment and materials during a lunch panel presentation during the first day of SEMICON West on Monday, July 10.

SST On the Scene at SEMICON West

The Next 10 Years: cost-effective lithography, managing R&D costs, scheduling invention, transitioning to 450mm wafers, and new business models.


07/11/2006  With escalating R&D costs, economic challenges associated with lithography past the 32nm half-pitch, and increasing competition between countries and even regions within countries, industry executives have their hands full. Among the questions explored in 14 video interviews conducted at SEMICON West are the following:

  • Are finishing fabs the answer to the industry's economic challenges going forward?
  • Are ever-larger consortia and alliances feeling growing pains, or does size drive momentum for solutions?
  • As the government gets more involved in basic research, will you be happy that someone from the government is there to help you?
  • Will high-throughput e-beam direct write lithography be ready in time for 32nm half-pitch?
  • Is there a solution to the raw polysilicon availability crunch?
  • Are universities competing "too much" with industry?
  • Is scheduling invention possible?
Hear what industry executives have to say about these and other issues.

EDA Integration Enhances Analysis

07/11/2006  Ansoft Corporation announced that their circuit-simulation software for high-performance IC design — Nexxim — was accepted into the Cadence Design Systems, Inc., Connections Program. Cadence's Virtuoso Analog Design Environment already integrates other Ansoft software, including their Q3-D Extractor and TPA package analysis tools.

ASMI, CEA-Leti target epi R&D for sub-45nm nodes

07/11/2006  July 11, 2006 - ASM America, a subsidiary of ASM International NV, and CEA-Leti are forming a joint development research program (JDP) to develop sub-45nm epitaxy and epitaxy pre-clean technologies, with the goal of finding alternative processing schemes for new front-end-of-line CMOS processing technologies.

Chartered, Singapore university join for 65nm packaging

07/11/2006  July 11, 2006 - Chartered Semiconductor Manufacturing and Singapore's Institute of Microelectronics, part of Singapore's Agency for Science, Technology and Research (A*STAR), have agreed to collaboration on research to optimize a range of fine-pitch packaging technologies for copper metallization and low-k dielectric silicon processes at 65nm and below.

Nikon, ASML ready for "last" battle with 193nm high-NA water immersion tools

07/11/2006  In what should be the last roundup of water-based 193nm immersion lithography systems, two of the big lithography tool vendors are coming out with their latest tools targeting 45nm (logic) and 32nm (memory) semiconductor manufacturing. Nikon's NSR-S610C ArF immersion scanner (1.30NA) is scheduled for deliveries by year's end, while ASML's Twinscan XT:1900i with 1.35NA optics, is being prepped for deliveries sometime in mid-2007.

Building on its decade of leadership in China, Toppan Photomasks expands Shanghai site

07/11/2006  July 10, 2006 -- /BUSINESS WIRE/ -- ROUND ROCK, Texas -- Toppan Photomasks, Inc. today announced plans to expand its Shanghai facility, adding capacity to produce photomasks used to manufacture semiconductor devices with 180nm design rules, and additional lithography and inspection capacity for 250nm-and-above products.

EV Group offers first online shop provided by a semiconductor process equipment manufacturer

07/11/2006  July 11, 2006 -- /EV GROUP/ -- EV Group (EVG) who this year celebrates "25 years ahead" in the MEMS and semiconductor industry and with more than 15 years high volume manufacturing experience, once again stays "ahead" by announcing availability of the new online shopping platform.

Memory splurges boost 2006 capex forecast, but 2007 outlook suddenly cloudy

07/11/2006  An infusion of new fresh investments from chipmakers, particularly memory firms, has caused Gartner Inc. to hike its outlook for capital spending in 2006 -- but better growth this year will come at a steep price for the near future of the equipment industry.

Tessera introduces wafer-level technology for optical components

07/11/2006  Tessera Technologies Inc., a provider of miniaturization technologies for the electronics industry, unveiled Shellcase CF, a wafer-level technology for optical components integrated into electronic products such as miniaturized cameras in camera phones, digital still cameras and video camcorders.

Freescale leaps ahead in MRAM race

07/11/2006  Freescale Semiconductor has broken away from the pack in the race to commercialize magnetoresistive random access memory, or MRAM. The Austin, Texas-based company announced on Monday that it is in volume production of the world's first commercially available MRAM chip. The chip is intended to combine at least three qualities that previously had been unavailable together.

July 2006 Exclusive Feature:

Economics of sub-45nm chipmaking for equipment suppliers



07/10/2006  By Bob Haavind, Editorial Director, Solid State Technology

Tough challenges facing process tool vendors as the industry moves toward sub-45nm chip features will require imaginative solutions. An analysis relating important application trends to process tool requirements was presented by Masayuki Tomoyasu, director of development and planning for Tokyo Electron Ltd. (TEL), who then proposed a wide range of potential solutions for toolmakers.

ATMI unveils new copper electrochemical deposition process

07/10/2006  July 10, 2006 - ATMI Inc., Danbury, CT, and Enthone Inc., a division of Cookson Group PLC, have introduced a new copper electrochemical deposition process for 45nm process technologies and below, developed for Novellus Systems Inc.'s Sabre tool.