Applications

APPLICATIONS ARTICLES



Innodisk bringing the next-generation NAND flash to the industrial embedded market

10/31/2018  Innodisk is launching its industrial-grade 3D NAND SSD series, making the newest NAND flash technology available for the challenging requirements of embedded and industrial applications.

Tests show integrated quantum chip operations possible

10/31/2018  For the first time, an Australian team has combined 2 fundamental quantum techniques on an integrated silicon platform, confirming the promise silicon -- the basis of all modern computer chips -- for quantum computing.

Innodisk enters alliance with subsidiaries and partners to bring AIoT into the spotlight

10/30/2018  Innodisk, along with partners and its four subsidiaries, are expanding into to the sectors where IoT intersects with AI to reach untapped potential.

New chip measures multiple cellular responses to speed drug discovery

10/29/2018  Researchers from the Georgia Institute of Technology have designed a cellular interfacing array using low-cost electronics that measures multiple cellular properties and responses in real time.

Nanotubes may give the world better batteries

10/26/2018  Rice U. scientists' method quenches lithium metal dendrites in batteries that charge faster, last longer.

Highly efficient wet-processed solar cells with molecules in the same orientation

10/26/2018  Researchers at Kanazawa University report in the journal Organic Electronics documents a new method for controlling the orientation of conducting molecules in organic solar cells that results in the enhanced light adsorption and performance of the cells.

Speeding MEMS innovation and production

10/26/2018  SEMI’s Nishita Rao spoke with Ron Polcawich about the MEMS workshop on rapid innovation that he held earlier this year and his interest in continuing that conversation with a broad audience of MEMS and sensors suppliers attending MEMS & Sensors Executive Congress.

Sensera further expands fabrication capabilities with dicing, wafer bonding and electroplating technologies

10/25/2018  c. announced it has acquired and qualified additional thin-film processing equipment including a dicing saw, a wafer bonder and an electroplating cell to meet the growing customer demand in this segment.

Spinning the light: The world's smallest optical gyroscope

10/25/2018  Caltech engineers create an optical gyroscope smaller than a grain of rice.

Optoelectronics, sensors/actuators, discretes climb again

10/25/2018  In 2018, a mixed bag of higher prices, shortages, and new imaging applications is driving up total O-S-D sales by 11% and expected to set a ninth consecutive record-high level of combined revenues.

Inexpensive chip-based device may transform spectrometry

10/23/2018  Tiny device could replace expensive lab-scale equipment for many applications.

Leti and Taiwanese National Applied Research Laboratories team up to strengthen microelectronics innovation in France and Taiwan

10/23/2018  Two leading French and Taiwanese research institutes today announced their new collaboration to facilitate a scientific and technological exchange between France and Taiwan.

Autonomy value: The next value for sensors suppliers

10/23/2018  Nicolas Sauvage, senior director of Ecosystem at TDK InvenSense, will present at the fast-approaching MEMS & Sensors Executive Congress on October 29-30, 2018 in Napa, Calif. SEMI’s Nishita Rao spoke with Sauvage to offer MSEC attendees advance insights on Sauvage’s feature presentation.

Understanding the building blocks for an electronic brain

10/22/2018  Computer bits are binary, with a value of 0 or one. By contrast, neurons in the brain can have all kinds of different internal states, depending on the input that they received. This allows the brain to process information in a more energy-efficient manner than a computer. University of Groningen (UG) physicists are working on memristors, resistors with a memory, made from niobium-doped strontium titanate, which mimic how neurons work.

STMicroelectronics and Fidesmo bring secure contactless transactions to wearables in complete payment System-on-Chip

10/19/2018  STMicroelectronics and Fidesmo, the contactless-services developer and Mastercard Approved Global Vendor, have created a turnkey active solution for secure contactless payments on smart watches and other wearable technology.

ULVAC and the CIA: A sensing story about food and agriculture

10/19/2018  ULVAC Technologies’ David Mount is working with The CIA. Is he the Jack Reacher of the MEMS and sensors industry, jetting around the world to secret meetings, you wonder?

Cybersecurity critical to success of MEMS and sensors suppliers

10/18/2018  Cynthia Wright, a retired military officer with over 25 years of experience in national security and cyber strategy and policy, now Principal Cyber Security Engineer at The MITRE Corporation, will give the opening keynote at the upcoming MEMS & Sensors Executive Congress, October 29-30, 2018 in Napa, Calif.

Electrical enhancement: Engineers speed up electrons in semiconductors

10/17/2018  Researchers from Graduate School of Bio-Applications and Systems Engineering at Tokyo University of Agriculture and Technology (TUAT) have sped up the movement of electrons in organic semiconductor films by two to three orders of magnitude. The speedier electronics could lead to improved solar power and transistor use across the world, according to the scientists.

New memristor boosts accuracy and efficiency for neural networks on an atomic scale

10/16/2018  A new parallel processing approach for building resistance-based artificial synapses promises to ramp up efficiency and accuracy by making memristors as thin as a single atom.

New reservoir computer marks first-ever microelectromechanical neural network application

10/16/2018  Researchers used oscillations from a microscopic beam of silicon to enable the nonlinear dynamics that allow neural networks to complete tasks ranging from processing image patterns to recognizing words.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts