Applications

APPLICATIONS ARTICLES



How microscopic machines can fail in the blink of an eye

11/30/2018  Researchers at the National Institute of Standards and Technology (NIST) have developed a method for more quickly tracking microelectromechanical systems (MEMS) as they work and, just as importantly, as they stop working.

STMicroelectronics adds high-quality user-interface design software to free development ecosystem for STM32 microcontrollers

11/28/2018  STMicroelectronics (NYSE: STM) is making its STM32 microcontrollers (MCUs) even more attractive to developers of IoT products and other smart devices by providing free software for creating rich, smooth, and colorful graphical interfaces that deliver a great user experience.

Flexible electronic skin aids human-machine interactions

11/28/2018  Researchers report a new method in ACS Applied Materials & Interfacesthat creates an ultrathin, stretchable electronic skin, which could be used for a variety of human-machine interactions.

Draw-your-own electrodes set to speed up development of micro detection devices

11/27/2018  Miniature devices for sensing biological molecules could be developed quicker thanks to a rapid prototyping method. Devices that sense and measure biological molecules important for healthcare, such as detecting diseases in blood samples, rely on electrodes to carry out their tasks.

Three CEA projects awarded European Research Council Synergy Grants

11/26/2018  The European Research Council (ERC) has just published the list of 27 projects it selected out of the 299 submitted to the ERC Synergy 2018 call for projects.

Altair Semiconductor and JIG-SAW partner on LTE-enabled sensors for industrial IoT

11/21/2018  Altair Semiconductor announced today it has partnered with JIG-SAW Inc. to develop LTE-enabled sensors for a wide variety of global industrial IoT applications.

SUNY Poly professors awarded $330,000 for two distinct cutting-edge nanotechnology-centered research projects

11/20/2018  Dr. Serge Oktyabrsky received $200,000 from the U.S. Department of Energy to develop next-gen scintillation detectors based on quantum dots to enable a better understanding of basic particles.

Imec and CEA-Leti join forces on artificial intelligence and quantum computing

11/20/2018  Two research and innovation hubs in nanotechnologies for industry, announced that they have signed a memorandum of understanding (MoU) that lays the foundation of a strategic partnership in the domains of Artificial Intelligence and quantum computing, two key strategic value chains for European industry, to strengthen European strategic and economic sovereignty.

SEMICON Korea to showcase AI, smart manufacturing, talent

11/20/2018  With Korea expected to remain the world's largest consumer of semiconductor equipment, building on its 18 percent share in 2018, SEMICON Korea 2019 is poised to connect global electronics manufacturing companies to new opportunities.

Solution for next generation nanochips comes out of thin air

11/19/2018  The secret ingredient for the next generation of more powerful electronics could be air, according to new research.

Six leading startups driving Japan's AI development

11/15/2018  Artificial intelligence (AI) is on the verge of transforming entire industries as it gears up to power semiconductor industry innovation and growth, thrusting the technology to front and center at SEMICON Japan 2019, December 12-14 at the Tokyo Big Sight (Tokyo International Exhibition Center).

MEMS and sensors in autonomous and electric vehicles: Key takeaways from IHS Markit at MSEC

11/15/2018  IHS Markit’s Jérémie Bouchaud provided a closer look at and outlook for this key market at the MEMS and Sensors Executive Congress in late October in Napa. Following are key takeaways from his presentation.

Micron collaborates with premium German automaker to advance automotive memory technologies

11/14/2018  Micron Technology, Inc., (Nasdaq: MU) today announced at Electronica 2018 that it will collaborate with the BMW Group to further advance the development of automotive memory solutions used in vehicles.

NOWI receives prestigious Semiconductor Innovation Award for breakout energy harvesting technology

11/13/2018  World's smallest harvesting IC – ready for first use in upcoming hybrid smartwatches.

Pinnacle Imaging Systems and ON Semiconductor collaborate on new HDR surveillance solution using Xilinx technology

11/07/2018  Pinnacle Imaging Systems and ON Semiconductor today jointly announced a new lower cost HDR video surveillance solution capable of capturing high contrast scenes (120 dB) with 1080p and 30 frames per second (fps) output.

FlexTech Taiwan Committee launched to advance flexible hybrid electronics

11/06/2018  SEMI Taiwan today announced the formation of the FlexTech Taiwan Steering Committee.

SkyWater appoints Tom Legere as Senior Vice President of Operations

11/06/2018  SkyWater Technology Foundry today announced that Tom Legere has been appointed as Senior Vice President of Operations.

New SMART Transportation Summit unveiled at SEMICON Japan 2018

11/06/2018  With electronic content in automobiles seeing rapid global growth, automotive and semiconductor industry leaders will convene for the first SMART Transportation Summit at SEMICON Japan 2018 to connect, collaborate and innovate the new generation of automobiles.

BISTel partners with Siemens to deliver MindSphere applications for advanced data analytics and predictive maintenance

11/05/2018  BISTeL, a provider of adaptive intelligent (AI) applications for smart manufacturing today announced that it has joined the MindSphere Partner Program, Siemens’ partner program for Industrial IoT solution and technology providers.

SEMI wins award for Nano-Bio Materials Consortium to grow digital health industry

11/05/2018  SEMI announced today that it has signed a new agreement with the U.S. Air Force Research Laboratory (AFRL) to expand the Nano-Bio Materials Consortium's (NBMC) work in advancing human monitoring technology innovations for telemedicine and digital health.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts