Category Archives: 3D Integration

By Zvi Or-Bach, President and CEO, MonolithIC 3D Inc.

SEMICON West 2015 had a strong and rich undercurrent – the roadmap forward is most certainly 3DIC. Yes, the industry can and we will keep pushing dimensions down, but for most designs the path forward would be “More than Moore.” As Globalfoundries’ CEO Jha recently voiced: It’s clear that More-than-Moore is now mainstream rather than niche. Really it is leading-edge pure digital that is the niche. Instead the high-cost leading edge processes are really niche processes optimized for applications in data centers or for high computational loads, albeit niches with volumes of hundreds of millions of units per year.”

CEA Leti’s CEO in her opening presentation for the SEMICON West–Leti day presented the following slide:

3DIC CEA-Leti

Calling the 28nm as the ‘switch node’ from the homogeneous march of the industry with dimensional scaling to the bifurcation we now see, where “More than Moore” approaches such as SOI and 3DIC are taking on an important portion of future progress.

CEA Leti went even further by dedicating its SEMICON West day entirely to 3D technologies, as is seen in their invitation:

leti day logo

GOING VERTICAL WITH LETI: Solutions to new applications using 3D technologies

  • Welcome– Leti’s 3D integration for tomorrow’s devices > N Semeria
  • CoolCubeTM: 3D sequential integration to maintain Moore’s Law > Faynot
  • Photonics: why 3D integration is mandatory > Metras
  • Computing: 3D technology for better performance > Cheramy
  • Lighting: 3D integration for cost effectiveness > C Robin
  • Nanocharacterization for 3D Bleuet
  • Conclusion– Silicon Impulse > N Semeria

Olivier Faynot, Microelectronic Section Manager at LETI, presented the following slide in his CoolCube presentation.

3DIC Cea-Leti coolcub

This illustrates that monolithic 3DIC of 4 tiers could provide the equivalent scaling value of the 5nm node at a far less infrastructure or NRE cost. As the slide states: “New scaling path, compared to 2D.” The time is now for monolithic 3D approaches to take hold a grow.

A similar message is projected by a slide presented by An Steegen of IMEC at their pre-SEMICON Technology Forum:

3DIC device stacking

The same assessment was also presented by Intel’s Jeff Groff from his synopsis of Intel’s Q2 call: “In summary, it seems that Intel is executing fairly well on the process technology side of the business considering the ever increasing difficulty of pushing forward with Moore’s Law. We can expect exciting new structures and materials (just maybe not at 10nm) and an increasing importance of 3-D structures in both logic and memory fabrication.” This resonates with our blog Intel Calls for 3D IC, and was recently voiced by Intel process guru Mark Bohr: “Bohr predicted that Moore’s Law will not come to an abrupt halt, but will morph and evolve and go in a different direction, such as scaling density by the 3D stacking of components rather than continuing to reduce transistor size.” Bohr’s ISSCC slide from earlier this year reasserts this:

3DIC ISSCC

The key two concerns regarding 3DIC stacking using TSV are (a) Cost, noted in the slide above “Poor for Low Cost,” and (b) Vertical connectivity, as voiced by Mark Bohr: “Intel’s Bohr agrees that 3D structures will become more important. He said the kind of through-silicon vias used for today’s chip stacks need to improve in their density by orders of magnitude.”

These limitations are the driver behind the efforts to develop monolithic 3D technology. Monolithic 3D would provide a very cost effective alternative to dimensional scaling with 10,000x higher than TSV vertical connectivity, as illustrated by the following slide of CEA Leti.

3DIC coolcube 2

A 1,000x improvement in energy efficiency using monolithic 3D was calculated by Stanford Prof. Subhasish Mitra. His sum-up at a SEMICON West keynote panel: “We have an opportunity for a thousand-fold increase in energy efficiency…from collaboration between dense computing and memory elements and dense 3-D integration of them.”

Until recently, all monolithic 3D process flows required a significantly new transistor formation flow. Since the transistor process is where the majority of the R&D budget and talent is being allocated, and carries with it fresh reliability concerns, the industry has been most hesitant with respect to monolithic 3D adoption. Yet in this recent industry gathering there is a sense that industry wide interest is strengthening for 3D technologies. The success of 3D NAND as the first monolithic 3D industry wide adoption could help this new interest build even faster.

A recent technology breakthrough, first presented in IEEE S3S 2014 conference (Precision Bonders – A Game Changer for Monolithic 3D) introduced a game changer in the ease of monolithic 3D adoption. Enhancement of this breakthrough will be presented in this year’s IEEE S3S 2015. This new monolithic 3D flow allows the use of the existing fab transistor process for the fabrication of monolithic 3D devices, offering a most attractive path for the industry future scaling technology.

P.S.

A good conference to learn more about these new scaling technologies is the IEEE S3S ‘15, in Sonoma, CA, on October 5th thru 8th, 2015. CEA Leti is scheduled to give an update on their CoolCube program, Qualcomm will present some of their work on monolithic 3D – 3DV, and three leading researchers from Berkeley, Stanford and Taiwan’s NLA Lab will present their work on advanced monolithic 3D integration technologies, and many other authors will be talking about their work on monolithic 3DIC and its ecosystem.

More blog posts from Zvi Or-Bach: 

Moore’s Law to keep on 28nm

Paradigm shift in semi equipment – Confirmed

Moore’s Law has stopped at 28nm

Paradigm shift: Semi equipment tells the future

Within the photolithography equipment market reaching $150M in 2014, advanced packaging applications experienced the strongest growth. Yole Développement (Yole)estimates that more than 40 systems have been installed in 2014, with a compound annual growth rate (CAGR) representing 10 percent between 2014 and 2020. In the meanwhile, MEMS photolithography equipment looks set for 7 percent CAGR and LEDs 3 percent.

Yole released last month its technology & market analysis dedicated to the manufacturing process, photolithography. Under this analysis entitled “Photolithography Equipment & Materials for Advanced Packaging, MEMS and LED Applications”the “More than Moore” market research and strategy consulting company proposes a comprehensive overview of the equipment and materials market dedicated to the photolithography step. Yole’s analysts performed a special focus on the advanced packaging area. They highlighted the following topics: current and emerging lithography technologies, technical specifications, challenges and technology trends, market forecast between 2014 and 2020, market shares and some case studies.

yole packaging july

“The advanced packaging market is very interesting and is growing dynamically as it includes many different players along the supply chain,” said Claire Troadec, Technology & Market Analyst at Yole. It encompasses outsourced assembly at test firms (OSATs), integrated manufacturers (IDMs), MEMS foundries and mid-stage foundries.
In comparison, even if the MEMS & Sensors industry is growing at a fast pace, components are also experiencing die size reduction due to strong cost pressure in the consumer market. Consequently wafer shipments are not following the same trend as unit shipments. Lastly, LED equipment growth is back to a normal rhythm, after big investments made in recent years.

Advanced packaging has very complex technical specifications. Warpage handling as well as heterogeneous materials represent big challenges to photolithography. Due to aggressive resolution targets in advanced packaging, performance must be improved. The current minimum resolution required is below 5µm for some advanced packaging platforms, like 3D integrated circuits, 2.5D interposers, and wafer level chip scale packaging (WLCSP). A lot of effort is being made to reduce overlay issues due to shifting dies and obtain vertical sidewalls for flip-chip and WLCSP. Although steppers are already well established in the packaging field, new disruptive lithography technologies are also emerging and could contribute to market growth from 2015-2016.

“Huge business opportunities in the advanced packaging market are therefore driving photolithography equipment demand,” highlighted Amandine Pizzagalli, Technology & Market Analyst at Yole. “Given the high growth rate of this market, there is no doubt that already established photolithography players and new entrants will be attracted,” she added.

yole packaging july fig 2

SEMI today announced the fourth annual European 3D Summit. Entitled “European 3D Summit 2016: Above and Beyond TSV,”  the advanced semiconductor Summit will take place on January 18-20, 2016 in Minatec in Grenoble, France.

The 2016 SEMI European 3D Summit will include a wide scope of 3D integrated circuit topics beyond Through-Silicon-Via (TSV) technology – with talks on FO-WLP/ e-WLB, Embedded Die, and 3D alternative technologies. Keynote and invited speakers will present their approaches and strategies for 3D Integration technologies, with specific attention on current adoption for applications such as memory, mobile, automobiles, wearables and more.

The increasing implementation of 3D technology in microelectronics devices has reshaped the electronics market. SEMI will highlight the latest business challenges and opportunities with a market briefing where 3D and packaging industry experts will present business and market insights. Up to 30 companies working in the 3D sector will have the opportunity to exhibit their technologies at the 3D Summit exhibition.

SEMI will provide attendees networking opportunities throughout the event, including lunches, coffee breaks, a gala dinner and a complimentary one-on-one business meeting service. New this year: SEMI will offer attendees a chance to visit the Minatec Showroom, to learn about the latest innovations being developed in the Grenoble tech hub.

The  European 3D Summit steering committee includes executives from: ams AG, BESI, CEA-Leti, Evatech, EV Group, Fraunhofer-IZM, imec,  Scint-X,  SPTS, STMicroelectronics and SUSS Microtec.

Please visit www.semi.org/European3DSummit to register as an attendee or book a booth as an exhibitor.

Blood and tears at DAC


July 14, 2015

BY PETE SINGER, Editor-in-Chief

At this year’s Design Automation Conference (DAC) in San Francisco, Brian Otis, a Director at Google, talked about how hundreds of millions of people are at risk of diabetes – and how a smart contact lens that continuously monitors blood glucose levels and transmits the data to a smartphone might just be the ideal solution.

There is a good correlation between your glucose levels in tears and that in blood (although it’s a factor of magnitude lower), so a smart contact lens can measure glucose levels using a wireless chip and miniaturized glucose sensor. The devices are embedded between two layers of soft contact lens material.

Google announced the smart lens project in January of 2014, at which time multiple clinical studies had been completed. A partnership was subsequently announced with Novartis’s Alcon eye-care division in July of 2014.

Otis said that the universe of people who are either bona fide pre-diabetic or at risk is huge. “It’s hundreds of millions of people,” he said. “Our hypothesis is that if we are able to create more comfortable CGMs (continuous glucose monitors), this will significantly impact the diabetes management problem we’re facing. No one has solved this problem yet, but we really want to do this because it could improve people’s lives,” he said.

A smart contact lens could solve the problem because it’s a wearable device that many millions of people already wear on a daily basis. “If there is an option of wearing the device that many people wear, that’s comfortable and also corrects your vision and gives you this valuable information, you’re likely to do that over than, let’s say, pricking your finger,” Otis said.

Otis described smart contact lenses as not just another gadget. “It’s really part of an ecosystem that can form a new type of proactive healthcare. We’re going to work really hard on that,” he said.

What makes this all possible, of course, is the work that the semiconductor industry has done in minia- turization over the last several decades. Otis said more work is needed: “The chips, the passive components, the power supplies, the antennas: Everything needs to shrink,” he said.

Applied Materials, Inc. today announced a next-generation etch tool, the Applied Centris Sym3 Etch system, featuring an entirely new chamber for atomic-level precision manufacturing. To overcome within-chip feature variations, the Centris Sym3 system leapfrogs current tools to provide chipmakers with the control and precision needed to pattern and create densely packed 3D structures in advanced memory and logic chips.

“Drawing on over 20 years of etch learning and our expertise in precision materials removal, the Sym3 system represents a brand new design, built from the ground up, that solves persistent and impending industry challenges,” said Dr. Raman Achutharaman, vice president and general manager of Applied’s Etch business unit. “Customer traction has been remarkable, resulting in the fastest adoption rate we’ve seen for an etch tool in the company’s history, with record ramp to production at leading-edge fabs.”

The Centris Sym3 etch chamber employs Applied’s True Symmetry technology with multiple tuning controls for optimizing global process uniformity to the atomic level. Key to the design is a focus on controlling and removing etch byproducts, which are increasingly hampering within-chip patterning uniformity. The system mitigates byproduct re-deposition to overcome the challenges of line edge roughness, pattern loading and defects – issues that are becoming more limiting for each successive technology node. Combined with an advanced RF technology that controls ion energy and angular distributions, the Sym3 system delivers unsurpassed vertical profiles for high aspect ratio 3D structures.

The Centris Sym3 platform’s six etch and two plasma clean process chambers feature system intelligence software to ensure that every process in every chamber matches precisely, enabling repeatability and high productivity for high-volume manufacturing. 

Applied Materials, Inc. develops engineering solutions for the semiconductor, flat panel display and solar photovoltaic industries. 

 GLOBALFOUNDRIES today launched a new semiconductor technology developed specifically to meet the ultra-low-power requirements of the next generation of connected devices. The “22FDX” platform delivers FinFET-like performance and energy-efficiency at a cost comparable to 28nm planar technologies, providing an optimal solution for the rapidly evolving mainstream mobile, Internet-of-Things (IoT), RF connectivity and networking markets.

While some applications require the ultimate performance of three-dimensional FinFET transistors, most wireless devices need a better balance of performance, power consumption and cost. 22FDX provides the best path for cost-sensitive applications by leveraging the industry’s first 22nm two-dimensional, fully-depleted silicon-on-insulator (FD-SOI) technology. It offers industry’s lowest operating voltage at 0.4 volt, enabling ultra-low dynamic power consumption, less thermal impact, and smaller end-product form-factors. The 22FDX platform delivers a 20 percent smaller die size and 10 percent fewer masks than 28nm, as well as nearly 50 percent fewer immersion lithography layers than foundry FinFET.

“The 22FDX platform enables our customers to deliver differentiated products with the best balance of power, performance and cost,” said Sanjay Jha, chief executive officer of GLOBALFOUNDRIES. “In an industry first, 22FDX provides real-time system software control of transistor characteristics: the system designer can dynamically balance power, performance, and leakage. Additionally, for RF and analog integration, the platform delivers best scaling combined with highest energy efficiency.”

22FDX leverages the high-volume 28nm platform in GLOBALFOUNDRIES’ 300mm production line in Dresden, Germany. This technology heralds a new chapter in the “Silicon Saxony” story, building on almost 20 years of sustained investment in Europe’s largest semiconductor fab. GLOBALFOUNDRIES launches its FDX platform in Dresden by investing $250 million for technology development and initial 22FDX capacity. This brings the company’s total investment in Fab 1 to more than $5 billion since 2009. The company plans to make further investments to support additional customer demand. GLOBALFOUNDRIES is partnering with R&D and industry leaders to grow a robust ecosystem and to enable faster time-to-market as well as a comprehensive roadmap for its 22FDX offering.

GLOBALFOUNDRIES’ 22FDX platform enables software-control of transistor characteristics to achieve real time tradeoff between static power, dynamic power and performance. This platform consists of a family of differentiated products architected to support the needs of various applications:

  • 22FD-ulp: For the mainstream and low-cost smartphone market, the base ultra-low power offering provides an alternative to FinFET. Through the use of body-biasing, 22FD-ulp delivers greater than 70 percent power reduction compared to 0.9 volt 28nm HKMG, as well as performance equivalent to FinFET. For certain IoT and consumer applications, the platform can operate at 0.4 volt, delivering up to 90 percent power reduction compared to 28nm HKMG.
  • 22FD-uhp: For networking applications with analog integration, this offering is optimized to achieve the same ultra-high performance capabilities of FinFET while minimizing energy consumption. 22FD-uhp customizations include forward body-bias, application optimized metal stacks, and support for 0.95 volt overdrive.
  • 22FD-ull: The ultra-low leakage offering for wearables and IoT delivers the same capabilities of 22FD-ulp, while reducing leakage to as low as 1pa/um. This combination of low active power, ultra-low leakage, and flexible body-biasing can enable a new class of battery-operated wearable devices with an order of magnitude power reduction.
  • 22FD-rfa: The radio frequency analog offering delivers 50 percent lower power at reduced system cost to meet the stringent requirements of high-volume RF applications such as LTE-A cellular transceivers, high order MIMO WiFi combo chips, and millimeter wave radar. The RF active device back-gate feature can reduce or eliminate complex compensation circuits in the primary RF signal path, allowing RF designers to extract more of the intrinsic device Ft performance.

GLOBALFOUNDRIES has been working closely with key customers and ecosystem partners to enable optimized design methodology and a full suite of foundational and complex IP. Design starter kits and early versions of process design kits (PDKs) are available now with risk production starting in the second half of 2016.

Strong support from Customers and Partners for 22FDX

​“GLOBALFOUNDRIES’ FDX platform, using an advanced FD-SOI transistor architecture developed through our long-standing research partnership, confirms and strengthens the momentum of this technology by expanding the ecosystem and assuring a source of high-volume supply,” said Jean-Marc Chery, chief operating officer of STMicroelectronics. “FD-SOI is an ideal process technology to meet the unique always-on, low-power requirements of IoT and other power-sensitive devices worldwide.”

“Freescale’s® next-generation i.MX series of applications processors is leveraging the benefits of FD-SOI to achieve industry leading ultra-low power performance-on-demand solutions for automotive, industrial and consumer applications,” said Ron Martino vice president of applications processors and advanced technology adoption for Freescale’s MCU group.  “GLOBALFOUNDRIES’ 22FDX platform is a great addition to the industry which provides a high volume manufacturing extension of FD-SOI beyond 28nm by continuing to scale down for cost and extend capability for power-performance optimization.”

“The connected world of mobile and IoT devices depend on SoCs that are optimized for performance, power and cost,” said Will Abbey, general manager, physical design group, ARM. “We are collaborating closely with GLOBALFOUNDRIES to deliver the IP ecosystem needed for customers to benefit from the unique value of 22FDX technology.”

“VeriSilicon has experience designing IoT SoCs in FD-SOI technology and we have demonstrated the benefits of FD-SOI in addressing ultra-low power and low energy applications,” said Wayne Dai, president and CEO of VeriSilicon Holdings Co. Ltd. “We look forward to collaborating with GLOBALFOUNDRIES on their 22FDX offering to deliver power, performance and cost optimized designs for smart phones, smart homes, and smart cars especially for the China market.”

“Next-generation connected devices, in markets from wearables and IoT to mobile and consumer, require semiconductor solutions that provide an optimal balance of performance, power and cost,” said Tony King-Smith, EVP Marketing, Imagination Technologies. “The combination of GLOBALFOUNDRIES’ new 22FDX technology with Imagination’s broad portfolio of advanced IP – including PowerVR multimedia, MIPS CPUs and Ensigma communications – will enable more innovation by our mutual customers as they bring differentiated new products to the market.”

“FD-SOI technology can provide a multi-node, low-cost roadmap for wearable, consumer, multimedia, automotive, and other applications,” said Handel Jones, founder and CEO, IBS, Inc. “GLOBALFOUNDRIES’ 22FDX offering brings together the best in low-power FD-SOI technology in a low-cost platform that is expected to experience very strong demand.”

“FD-SOI can deliver significant improvements in performance and power savings, while minimizing adjustments to existing design-and-manufacturing methodologies,” said CEA-Leti CEO Marie-Noëlle Semeria. “Together, we can collectively deliver proven, well-understood design-and-manufacturing techniques for the successful production of GLOBALFOUNDRIES’ 22FDX for connected technologies.”

“GLOBALFOUNDRIES’ announcement is a key milestone for enabling the next generation of low-power electronics,” said Paul Boudre, CEO of Soitec. “We are pleased to be GLOBALFOUNDRIES’ strategic partner. Our ultra-thin SOI substrate is ready for high-volume manufacturing of 22FDX technology.”

Applied Materials, Inc. today unveiled the Applied Olympia ALD systemfeaturing a unique, modular architecture that delivers high-performance ALD technology to manufacturers of leading-edge 3D memory and logic chips. The 3D device inflection is driving growth in ALD with demand for new patterning films, new conformal materials and lower thermal budgets. The Olympia system is well positioned to fulfill these requirements with uncompromising ALD performance, addressing industry needs with the process flexibility to precisely engineer and efficiently deposit a variety of low-temperature, high-quality films for multiple applications.

Our Olympia system is a major technology innovation for the 3D device inflection,” said Dr. Mukund Srinivasanvice president and general manager of Applied’s Dielectric Systems and Modules group. “The Olympia system overcomes fundamental limitations chipmakers are experiencing with conventional ALD technologies, such as reduced chemistry control of single-wafer solutions and long cycle times of furnaces. Because of this, we’re seeing strong market response with Olympia systems installed at multiple customers to support their move to 10nm and beyond.” 

The Olympia system’s adaptable modular architecture enables a uniquely flexible and rapid process sequence vital for controlling the more complex chemistries needed to develop the next generation of ALD films. Further, the modular designcreates complete separation of chemistries, eliminating the pump/purge steps of conventional ALD technologies for improved productivity. The combined advantages of the Olympia system offer a superior solution to conventional ALD systems and position the tool for widespread adoption.  

Applied Materials, Inc. develops solutions for the semiconductor, flat panel display and solar photovoltaic industries. 

Related news: 

Cadence and Applied Materials collaborate on CMP process optimization

Applied Materials and Tokyo Electron terminate merger

Engineered SOI substrates are now a mainstream option for the semiconductor industry.

BY MARIAM SADAKA and CHRISTOPHE MALEVILLE, Soitec, Austin, TX and Grenoble, France

The number of mobile subscribers worldwide reached 95.5% of the world’s population in 2014 and is expected to reach 9.3B by 2019 (1). This fast growing trend is driving end markets towards satisfying stringent demands of mobile connected users. Whether it is a smartphone or a wearable device, the key requirements include low cost, extended battery life, more functionalities, smaller form factor, and fast time to market. In an effort to bring more performance, more functionality or less power consumption, innovation starting at the substrate level has demonstrated significant achievements. This includes implementing planar Fully Depleted Silicon-On- Insulator (FD-SOI) devices with full back bias capability to extend Moore’s Law beyond 28nm and meet power/ performance/cost requirements for low power SoCs. In addition, using High Resistivity SOI for integrating the RF Front End Module (FEM) providing significant die cost advantage with increased performance and functionality. In this paper, engineered substrates for next generation ultra-low power integrated digital and RF devices and other emerging applications will be discussed.

Device scaling and device functional diversification

Device scaling has been following Moore’s law for the last five decades, doubling transistor density every two years, bringing higher performance, more functionality at lower cost. To maintain this trend, the industry implemented non-classical ways to continue on the scaling path. This started with innovation at the material level, then innovation at the device structure level demonstrating improved electrostatic control enabled by fully depleted (FD) devices (FIGURE 1). FD devices include planar FD-SOI, vertical FinFET or multi-gate device structures. FD-SOI is a great example of device scaling in the substrate era, where the engineered substrate provides the fully depleted structure that solves the variability challenge and enables body bias capabilities to meet the power/performance and cost requirements for low power consumer SoCs.

FIGURE 1. Technology migration history [2].

FIGURE 1. Technology migration history [2].

The semiconductor industry also has another key focus called More-Than-Moore. This new trend provides added non-digital functional diversification without necessarily scaling according to Moore’s Law. More- than-Moore technologies cover a wide range of domains, and there are numerous examples where advantages brought by substrate engineering enable better perfor- mance and more functionality. With the increasing demand for wireless data bandwidth and the emergence of LTE Advanced, new RF devices with higher levels of integration and more stringent specifications need to be developed. RF-SOI substrates are a great example of how engineered substrates play a major role in achieving the needed level of performance and integration. Two generations of High Resistivity SOI (HR-SOI) substrates compatible with standard CMOS processing were developed [3]. While Gen 1 HR-SOI is well suited for 2G and 3G requirements, Gen 2 HR-SOI enables much higher linearity and isolation meeting most stringent LTE Advanced requirements and thus is paving the way for higher levels of integration with better performance at an improved cost (FIGURE 2).

FIGURE 2. Soitec’s RF-SOI leadership from ideas to high volume manufacturing.

FIGURE 2. Soitec’s RF-SOI leadership from ideas to high volume manufacturing.

UTBB FD-SOI substrates

FD-SOI with ultra-thin Box, known as Ultra-Thin-Body and Box (UTBB) substrates, are an attractive candidate for extending Moore’s Law at 28nm and beyond while keeping the cost benefit from shrinking. UTBB FD-SOI devices represent an extension of the planar device archi- tecture demonstrating several advantages essential to low power SoCs.

FD-SOI devices have excellent immunity to Short Channel Effects (SCE) leading to improved sub-threshold swing and Drain-Induced Barrier Lowering (DIBL), and minimum Random Dopant Fluctuation (RDF), thanks to the undoped channel. This ensures lowest Vt variation [4,5], improves performance at lower Vdd as well as improves SRAM and analog mismatch and analog gain, allowing superior digital/analog co-integration [6].

UTBB FD-SOI devices combine the advantage of tuning the front gate and back gate work function [4] as well as enabling effective back bias capabilities for multi-Vt options (FIGURE 3). The back bias capability is a unique feature that enables Vt modulation for better trade-off of power and performance and can be effectively applied in a static or dynamic mode. Moreover, UTBB FD-SOI back bias capabilities show no degradation with scaling and offer a wider range of biasing versus bulk at no area penalty [5].

FIGURE 3. UTBB FD-SOI with Back Bias (Courtesy of ST Microlectronics).

FIGURE 3. UTBB FD-SOI with Back Bias (Courtesy of ST Microlectronics).

UTBB FD-SOI is a scalable technology supporting at least three nodes; 28nm, 14nm and 10nm (FIGURE 4A). The technology satisfies density/area, performance and power saving requirements without a disruptive change in device architecture and integration. Today, available foundry offerings demonstrate competitive performance at 28 & 22nm [1,7] and the technology is proven down to 10nm [8]. Scaling requires thinner SOI and BOX. In order to alleviate the constraints on SOI film thickness reduction, a scaling sequence based on different BOX layer thickness was proposed, FIGURE 4B [9]. SOI substrates with 25nm BOX are already in production and 10 nm BOX has been demon- strated. Furthermore, the substrate roadmap beyond 14nm includes substrate strain engineering providing the advantage of enhancing the carrier mobility independent of device pitch. This includes strained silicon directly on insulator (SSOI) or strained SiGe- On-Insulator (SGOI) [10].

FIGURE 4. (a) UTBB FD-SOI Device integration supporting three nodes (11) (b) SOI/BOX thickness scaling ensuring a DIBL of 100 mV/V or below down to 7 nm node [9].

FIGURE 4. (a) UTBB FD-SOI Device integration supporting three nodes (11) (b) SOI/BOX thickness scaling ensuring a DIBL of 100 mV/V or below down to 7 nm node [9].

FD-SOI devices are planar devices that are fully compatible with mainstream CMOS processing, designs and EDA tools, providing a faster time to market solution. In addition to fully leveraging conventional CMOS processes, FD-SOI process integration is simpler than bulk (FIGURE 5) [1, 12]. FD-SOI process saves several masks and process steps typically included for Vt tuning and for the integration of uniaxial stressors needed to boost performance in planar and FinFET bulk [13, 14]. Even with the drastically increasing lithography cost, such process simplifications more than compensate for the SOI substrate cost, resulting in a lower overall processed wafer cost [11].

FIGURE 5. 28nm FD-SOI vs. 28LP process (courtesy of ST Microelectronics).

FIGURE 5. 28nm FD-SOI vs. 28LP process (courtesy of ST Microelectronics).

While the vertical FinFET device features excellent gate control and high density/performance per area, it also requires a disruptive change in process and design resulting in higher cost and longer time to market. For applications that require the ultimate performance/ digital integration and large die size, vertical FinFETs are a good solution. For other applications that cannot afford the FinFET solution, such as cost sensitive low-mid end mobile consumer applications, FD-SOI is a great candidate for providing low power/high performance and more analog integration capabilities with the least process and design disruption for low cost and fast time to market. Furthermore, FD-SOI devices with back bias can operate at voltages as low as 0.35V [15,16] without area and costly design penalties making them excellent candidates for Ultra-Low Power (ULP) applications. FD-SOI devices consume less energy than bulk at the MEP (Minimum Energy Point) and maintain the smallest energy per cycle with higher operating frequency across the whole Vdd range [17, 18]. This makes UTBB FD-SOI technology a very attractive option for enabling ULP cost sensitive IoT applications.

Smart Cut enabling uniformity for Vt variability control

FIGURE 6: The Smart Cut process.

FIGURE 6: The Smart Cut process.

Optimization of the conventional Smart Cut process is essential for delivering ultra-thin SOI and BOX with well controlled wafer-to-wafer and within-wafer uniformity (FIGURE 6). The Smart Cut unique uniformity control relies on several key aspects of the process [19]: (a) A highly uniform thermal oxidation of a donor wafer to form the BOX (b) A conformal hydrogen implant through the oxide to define the separation plane in the Silicon (c) A high temperature anneal to eliminate the SOI roughness while keeping excellent on-wafer SOI uniformity (20). Developing an efficient smoothing process to eliminate the Si roughness is critical for ensuring low transistor Vt variability. This requires Si thickness monitoring across the entire range of the spatial frequency. As existing ellipsometry and AFM characterizations are necessary but not sufficient, Soitec developed Differential Reflective Microscopy (DRM) to address the 100um scale SOI roughness. Consequently, bridging the gap between ellipsometry and AFM and providing a complete picture of surface roughness crucial for controlling Vt variations at the transistors level (FIGURE 7).

FIGURE 7. SOI layer thickness control.

FIGURE 7. SOI layer thickness control.

As the FD-SOI substrate plays a key role in defining the device structure, substrate local and global thickness control is very important. This is especially true for UTBB FD-SOI devices, where the BOX thickness affects the efficiency of Vt tuning through back biasing, and the channel thickness uniformity and roughness influence the electrostatics of the device and Vt variation respectively. Today, Soitec guarantees volume production of SOI 12nm ±5Å and BOX 25nm ±10Å (6 sigma value, all sites, all wafers). When benchmarking variability; planar FD-SOI exhibits the best performance compared to Bulk technologies [4, 5]. Global variability is also reduced and maximum TSi dispersion (TSi,max) obtained on 300mm wafers is already satisfying the objective for Vt variability for advanced technology nodes [4].

High resistivity SOI substrates

The rapid adoption of new wireless standards and the increasing demand for data bandwidth requires RF IC designers to develop devices with higher levels of integration, meeting more and more stringent specification levels. The engineered substrates on which those devices are manufactured play a major role in achieving that level of performance. The improved high frequency performance of CMOS with process shrinks, and the availability of CMOS foundry technol- ogies on 200 or 300mm substrates has made it possible to have high volume fabrication of integrated Si based RF systems, including high quality passive devices [21,22] and RF switches and power amplifiers on SOI substrates [23]. Historically, switches and power amplifiers were built on gallium arsenide (GaAs) substrates. Since 2008, RF-SOI has progressively displaced GaAs and silicon-on- sapphire technologies by offering the best cost, area and performance for RF switches, and thus becoming the mainstream technology solution adopted by the majority of RF foundries [24].

Gen 2 HR-SOI engineered substrates

FIGURE 8. Silicon uniformity requirements to meet ITRS variability roadmap [4].

FIGURE 8. Silicon uniformity requirements to meet ITRS variability roadmap [4].

Typical SOI substrates do not have thick enough BOX to prevent the electrical field from diffusing into the substrate, inducing high-frequency signal losses, non-linearity and crosstalk which are detrimental to RF performance. To improve the insertion loss, harmonic distortion and isolation performance required for switches, the bulk base substrate of an SOI substrate was replaced by a high-resistivity base substrate known as Gen 1 HR-SOI. The adoption of Gen 1 HR-SOI wafers for RF applications has allowed monolithic integration of RF FEM, leading to smaller size, better reliability, improved performance and lower system cost [25, 26]. While first generation substrates are well suited for 2G and 3G applications, they suffer from the a parasitic surface conduction (PSC) layer induced under the BOX due to fixed oxide charges which attract free carriers near the Si/SiO2 interface. This drastically reduces the substrate effective resistivity by more than one order of magnitude, limiting the substrate capability in meeting the next step in performance for LTE advanced standards (FIGURE 9).

FIGURE 9. Gen 2 HR-SOI Substrate.

FIGURE 9. Gen 2 HR-SOI Substrate.

To address this intrinsic limitation, Soitec and Université Catholique de Louvain (UCL) developed a second gener- ation (Gen 2) HR-SOI substrate with improved effective resistivity as high as 10KOhm.cm (FIGURE 10). This was achieved by adding a trap-rich layer underneath the buried oxide to freeze the PSC. These traps originate from the grain boundaries of a thin polysilicon layer added between the BOX and high resistivity substrate [27]. The high resistivity characteristics of Gen 2 HR-SOI substrates are conserved after CMOS processing, enabling very low RF insertion loss (< 0.15 dB/mm at 1 GHz), low harmonic distortion (-40dB) along coplanar waveguide (CPW) transmission lines, and purely capacitive crosstalk close to quartz substrates (FIGURE 11). It was further demon- strated that the presence of a trapping layer does not alter the DC or RF behavior of SOI MOS transistors [28]. With second generation HR-SOI products, RF IC performance is further advanced meeting more stringent losses, coupling and non-linearity specifications (FIGURE 12) [25].

FIGURE 10. Measured effective resistivity of Gen 1 HR- SOI and trap-rich Gen 2 HR-SOI (TRSOI). Both use 10 kOhm.cm nominal resistivity handle Si substrate [25].

FIGURE 10. Measured effective resistivity of Gen 1 HR- SOI and trap-rich Gen 2 HR-SOI (TRSOI). Both use 10 kOhm.cm nominal resistivity handle Si substrate [25].

FIGURE 11. (a) Measured crosstalk comparing Gen 2 HR-SOI (TR SOI), Gen 1 HR-SOI and quartz [25] . (b) Measured harmonic distortion along a CPW line, on standard SOI (~ 10ohm.cm) and Gen 1 HR-SOI (~ 1 kOhm.cm) and Gen 2 HR-SOI (TR-SOI) (~ 10 kOhm.cm).

FIGURE 11. (a) Measured crosstalk comparing Gen
2 HR-SOI (TR SOI), Gen 1 HR-SOI and quartz [25] . (b) Measured harmonic distortion along a CPW line, on standard SOI (~ 10ohm.cm) and Gen 1 HR-SOI (~ 1 kOhm.cm) and Gen 2 HR-SOI (TR-SOI) (~ 10 kOhm.cm).

FIGURE 12. Harmonic two and three measured on CPW on commercially available versions of Gen 2 HR- SOI (eSi) substrates.

FIGURE 12. Harmonic two and three measured on CPW on commercially available versions of Gen 2 HR- SOI (eSi) substrates.

Because the trap-rich layer in Gen 2 HR-SOI substrates is integrated at the substrate level, additional process steps and consequently more conservative design rules are no longer needed, leading to a more cost effective process and a possible smaller die area per function. Gen 2 HR-SOI substrates now enable RF designers to add diverse on-chip functions such as switches, power amplifiers and antenna tuners with excellent RF isolation, good insertion loss and better signal integrity at lower cost than traditional technologies (FIGURE 13). It also brings clear benefits for the integration of passive elements, such as high quality factor spiral inductors [29], tunable MEMS capacitors [30], as well as reducing the substrate noise between devices integrated on the same chip. Beyond performance, RF-SOI offers a unique advantage to further reduce board area by integrating all FEM devices on the same die [3].

FIGURE 13. Gen 2 HR-SOI (eSi) substrates, advantages vs Gen 1 HR-SOI substrate.

FIGURE 13. Gen 2 HR-SOI (eSi) substrates, advantages vs Gen 1 HR-SOI substrate.

In addition to innovation at the substrate level, Soitec developed the characterization needed to predict the RF Harmonic Quality Factor (HQF) at the substrate level and before device/circuit manufacturing. The characterization method is based on spreading resistance profiling (SRP), which can predict the 2nd harmonic distortion (HD2) performance of a coplanar waveguide (FIGURE 14). This essential metrology step is used today throughout the Soitec product line to ensure Gen 2 HR-SOI SOI substrates provide the expected RF performance at the device level.

FIGURE 14. Harmonic Quality Factor (HQF) methodology.

FIGURE 14. Harmonic Quality Factor (HQF) methodology.

New substrates for new collaborations

As demonstrated, UTBB FDSOI and Gen 2 HR-SOI substrates are well positioned to address ULP IoT and mobile connectivity applications that will respectively require drastic power reduction and higher frequency bands at very low cost. Combining advanced CMOSprocess capabilities with the demonstrated benefit of engineered SOI substrates is paving the way for digital and RF integration for next generation cost sensitive integrated ULP mobile connected devices.

Furthermore, there are multiple examples where innovative substrate engineering can address roadmap challenges, enable further integration; provide differ- entiation in final product at a more efficient cost and footprint. Some examples of different application segments include: Photonics, Imaging sensors, advanced FinFET (TABLE 1).

Substrate Table 1

Looking beyond a wafer and an application, entering the substrate era requires critical partnerships across the entire ecosystem. This includes having an augmented collaboration along the value and supply chain, covering collaborations with material, equipment and substrate suppliers as well as collaborations with foundries, IDMs and fabless companies. Soitec greatly supports this model and believes in establishing strong collaborations to seed future critical innovations.

Conclusion

Engineered SOI substrates are now a mainstream option for the semiconductor industry adopted by several foundries. UTBB FD-SOI substrates enable planar fully depleted devices with full back bias capability to extend Moore’s Law at 28nm and beyond providing excellent power/performance/cost benefits. Gen 2 HR-SOI substrates enable FEM integration and higher linearity and isolation meeting stringent performance requirements for advanced standards at an improved cost. Combining advanced CMOS process capabilities with the demonstrated benefit of engineered SOI substrates is paving the way for digital and RF integration for next generation cost sensitive integrated ULP mobile connected devices. As such, engineered SOI substrates are well positioned to serve future integrated IoT applications.

Acknowledgement

The authors would like to thank Bich-Yen Nguyen and Eric Desbonnets for their valuable contribution and constructive discussions.

References

1. The International Telecommunication Union & Ericsson (2014)
2. YongjooJeon,2015FD-SOIandRF-SOIforum,http://www. soiconsortium.org/fully-depleted-soi/presentations/janu- ary-2015, Tokyo (2015)
3. Soitec,WhitepaperonRFsubstrates,http://www.soitec. com/pdf/Soitec_RF-SOI_Substrates_WP.pdf (2015)
4. O. Weber et.al., IEDM, p.1-4, (2008)
5. L.Grenouilletet.al.,IEDM,p.3.6.1-3.6.4,(2012) 6. S.LeTualet.al.,ISSCC,p.32-383,(2014)
7. M. Mendicino, S3S conference, http://semiengineering. com/time-to-look-at-soi-again/ (2014)
8. Qinget.al.,IEDM,p.9.1.1-9.1.4,(2014)
9. O.Faynotet.al.,IEDM,p.3.2.1–3.2.4.6–8,(2010)
10. W. Schwarzenbach et al., ICICDT, p. 1-4, (2012)
11. Nguyen, et. al., Advanced Substrate News, (2014)
12. P. Magashak et. al., DAC (2014)
13. J. Hartmann, FD-SOI Tech Symposium, http://www.soicon-
sortium.org/fully-depleted-soi/presentations/december-2012/
(2012)
14. P. Flatresse, S3S Conference short course, Monterey, 2013 15. P. Flatresse, ISSCC, p. 424-425, (2013)
16. H. Makiyama et. al, IEDM, p. 33.2.1 – 33.2.4, 2013
17. Mäkipää & Billiot, IEE 2013
18. N. Sugii et. al, J. Low Power Electron. Appl., 4, 65-76, (2014) 19. W. Schwarzenbach et al., ECS Trans., 45, p. 227 (2012)
20. W. Schwarzenbach et al., ECS Trans., 53, p. 39 (2013)
21. C. Didier, White Paper :RF-SOI Wafer Characterization,
Soitec (2015)
22. J.-P. Raskin et al., IEEE Trans. on Electron Devices, 44, p.
2252 (1997)
23. A. Larie et. al, ISSCC, p.48-50, 2015
24. Yole Développement: RF Filters, PAs, Antenna Switches &
Tunability for Cellular Handsets, (2014)
25. J. Le Meil, et. al., VSLI TSA, (2015)
26. J.-P. Raskin et. al., IEEE Trans Electron Devices, v.44, #12, p.
2252-2261, (1997)
27. D. Lederer and J.-P. Raskin, Solid-State Electronics, 47 (11),
p. 1927 (2003)
28. K. Ben Ali et. al, IEEE Trans. Electron Devices, v. 61,.#3, p.
722-728, (2014)
29. F. Gianesello et. al. SOI Conference, p. 119-120 , 2007 30. Y. Shim, IEEE MWCL, v. 23, # 12, p. 632-634, (2013)

MARIAM SADAKA is a Soitec fellow based in Austin, TX and CHRISTOPHE MALEVILLE is Senior Vice President, Digital Electronics Business Unit for Soitec, Grenoble, France.

IRT Nanoelec, an R&D consortium focused on Information and Communication Technologies (ICT) using micro- and nanoelectronics, and its partners CEA-Leti, STMicroelectronics and Mentor Graphics have realized an innovative 3D chip called “3DNoC” to demonstrate the use of 3D stacking technology in scalable, complex digital systems-on-chip (SoCs).

The 3DNoC chip is based on a 2D die that can be used in a stand-alone applicative mode, and also in a 3D stack with several dice, to multiply the processing performance of the system. The project’s complete demonstration platform shows both the simulated and measured thermal effects in the 3D chip using a new Mentor Graphics Calibre thermal-analysis prototype.

“The technology developed for this realization can be easily used and transferred to address mixed-technology applications, such as imagers and RF transceivers, or complex digital processing, such as high-performance computing and programmable devices,” said Severine Cheramy, IRT 3D program director. “In parallel with these results, we are working on developments that address more fine-pitch 3D technology than those used in the 3DNoC demonstrator and solutions for thermal dissipation, temporary bonding and stress issues.”

3D-stacking technology is a promising solution to improve both performance and density integration without requiring transition to the next technology node. It allows the integration of different technologies and simplifies the use of small-sized dice to improve modularity and increase yield. In a complex and traditional 2D SoC, the technology node is defined by the most complex function, and reuse methodology is done at the IP level. A 3D system blends several technologies and reuse methodology can be performed at the elementary die, the “chiplet.”

The 3DNoC chip was defined and designed by Leti, with the direct support of STMicroelectronics, using a specific add-on 3D design kit and a set of 3D sign-off verification tools provided by Mentor Graphics. CMOS technology, 3D technology and packaging were realized by ST and Leti, with a “via-middle option” in 65nm CMOS technology. The test and demonstration platform is a joint development among the three partners.

Proving the viability of 3D stacking

IRT Nanoelec provides a multi-skill environment – including technology development, innovative processing architecture, and specific design tools in a global system- methodology approach – for the development of pioneer 3D demonstrators to prove the viability of 3D stacking in a wide range of applications. Although the 3DNoC chip addresses baseband processing, all technology and design bricks are reusable across a range of other applications.

3DNoC is the first worldwide realization of a 3D-scalable processor chip. It goes beyond prior state-of-the-art as a 3D asynchronous communication network that can exploit the maximum performance of vertical links and offer an aggregate 3D network link bandwidth of 450 MByte/s. The strategy, which is based on increasing the performance of a system by stacking several identical dice in the same footprint, is very similar to HMC or HBM memories. In the case of DRAM, byte capacity is multiplied by the number of elementary dice stacked; the 3DNoC circuit multiplies processing performance. 

The Technology

Several identical 65nm CMOS digital dice can be bonded using a face-to-back technology to build a stack of processing elements, using 10µm-diameter through-silicon via (TSV) and 20µm-diameter µpillars and µbumps. In the IRT Nanoelec demonstration, two dice are stacked.

At the elementary die level, provisions were made to allow the stacking of up to four dice: the number of power connections is dimensioned in this way, while the number of signals is constant regardless of the number of stacked dice. Area occupied by the 2,000 TSVs represents about 1 percent of the whole die area (72 mm2) and wafers are thinned to 80µm for TSV revelation at the backside.

3DNoC is mounted in a 581-ball, 0.3mm-pitch BGA package using a stacking-last approach, i.e. the bottom die is bonded on the substrate and after the top one on the bottom.

Targeting digital baseband processing

The digital modules embedded in 3DNoC are computing-intensive IPs, processor cores and programmable DMA engines connected to the NoC routers using a dedicated interface compatible with a packet-switching mechanism.

The global architecture was partitioned in a scalable way to address several modes, depending on the number of antennae used for transmitting-and-receiving levels. The modular elementary die was sized to fit the processing performance required to support the single antenna mode and, by stacking two or four dice, more complex multiple antenna modes are supported. As an example, the 3DNoC chip developed in this project can support up to two antennae for both TX and RX.

Network on Chip (NoC)

For many years, network-on-chip (NoC) has played a key role in 2D complex SoCs, thanks to its ability to efficiently manage data exchanges between many IPs. The fact that packet switching communication is well decoupled to computing IPs makes the extension of the interconnection capabilities to the third dimension easy and natural. The elementary die of the 3DNoC integrates four 3D routers to ensure vertical communication.

Redundancy and fault-tolerance are used in the 3DNoC circuit at both communication and processing levels. Using asynchronous logic for router implementation allows implementing robust 3D communication interfaces without any delay assumption, and makes dynamic voltage and frequency scaling (DVFS) for power optimization easier, relative to processing requirements and thermal constraints. Specific analysis and sizing tools developed by Mentor Graphics for power and thermal aspects were very helpful to architects in the 3D floor plan of the 3DNoC chip. More specifically, the Calibre 3DSTACK tool has been used for final sign-off verification of the 3D assembly of the two dice.

Several modules have been designed to ensure 3D signal integrity between the different tiers: micro-buffers, ESD protection, 3D link redundancy and data coding. A complete design-for-test methodology has been set up to perform a hierarchical test of each module, tier and stack before and after stacking based on the Mentor Graphics Tessent test tool suite including test pattern generation

Bruno Mourey, chef du Département intégration hétérogène sur siliciumBy Bruno Mourey, Chief Technology Officer, CEA-Leti

As these early days of the Internet of Things show the network’s promise and reveal technological challenges that could threaten its ability to meet user expectations in the years ahead, technology providers will be charged with supplying the solutions that will meet those challenges.

Chief among them for designers and chipmakers are the increased complexity and cost of IC design and yield ramp-ups, and wafer costs, said Carlo Reita, strategic marketing manager at CEA-Leti.

“Disruptive architecture and integration technologies are required,” Reita told participants at the 17th annual LetiDays in Grenoble, France, June 24-25. In his talk, “Technologies and architectures for low-power data processing,” Reita noted the spikes in both complexity and cost that accompany the industry’s progression to smaller technology nodes. The spikes are driven primarily by costly new tools and increases in both design manpower and the number of expensive licenses for software-design tools that accompany increasing device complexity.

Reita cited projections from IBS that industry-wide, non-recurring engineering (NRE) costs will total $38 million for IC designs at the 28nm node, $132 million at the 16nm node and $1.34 billion at the 5nm node.

Adding yield ramp-up costs to IC design costs, which include both new designs and specializations, the projected NREs skyrocket from $59 million at 28nm to $176 million at 16nm and $2.24 billion at 5nm. Meanwhile, the average selling price of 300mm wafers grow from $9,885 at 16nm to $19,620 at 5nm.

Reita noted that such projections underscore the pressure that the industry will face to develop new design-implementation approaches that change the cost metrics for advanced-features, so that initial products can generate revenues that justify the design and yield ramp-up costs.

He said that managing data traffic that is increasing exponentially, while maintaining data-center server performance and lowering the centers’ energy consumption, is among the top challenges for the computing industry in the years ahead. Meanwhile, mobile computing and the Internet of Things are adding a different set of challenges that will feed the design-cost escalation, ranging from the requirement for mandatory long battery life to supporting heterogeneous and power-hungry applications and the capability to adjust to process, voltage and temperature variations.

Reita also outlined Leti’s plans and vision for technologies that address these challenges in the short, medium and longer terms.

Like other speakers during the two-day event, he noted FD-SOI’s advantages compared to FinFET as a proven low-power, cost-effective solution that will meet current and mid-term needs for devices down to the 10nm node. In addition, transistor-stacking options, such as Leti’s low-temperature CoolCube technology, support denser and higher-performing CMOS devices. CoolCube also makes it easier for designers to use heterogeneous integration of material and/or functions and provides a greater degree of freedom for design partitioning, Reita said.

Other avenues of exploration include adaptive fine-grain architecture that mitigates local and dynamic PVT variations, and permits either better use of the chip surface or smaller chips

Leti also is working on resistive RAM that can reduce power consumption at the storage level by putting high-density, non-volatile memory closer to logic chips.

On Leti’s roadmap for the medium term, neuromorphic architectures may enable full transfer of successful algorithms into a specific physical system that will achieve power-efficient computation. Deep recurrent networks with spike coding are a likely candidate to best match physical implementation characteristics.

In Leti’s view, this architecture also allows co-localization of memory and computation similar to a biological system, where a synaptic element performs storage, interconnect and non-linear operations. In addition, the architecture takes full advantage of Leti’s advanced RRAM, 3D and low-power CMOS techniques to break memory-bottleneck and synaptic-density issues, while maintaining ultra low power.

Reita also spoke briefly about quantum computing, “a very long-term” technology possibility, whose appeal includes superposition of the quantum bits (qubits) states in an ultimate parallel system and reversible operators that keep power use at a minimum. This architecture, which is probably 20 years down the road, is expected to massively accelerate computation. It will be best suited for tackling complex optimization problems, Reita said.

Leti collaborates with CEA’s fundamental research departments on topics including SiGe nanowire devices, in which electronics states can act as qubits and use Pauli spin blockade for spin-charge conversion and interaction with CMOS and the external world.

Related news:

Leti workshop covers major trends in FD-SOI technologies

ASCENT project offers unparalleled access to European nanoelectronics infrastructure

Leti launches new Silicon Impulse FD-SOI Development Program