Category Archives: FPDs and TFTs

 If we did not know before, now we are all aware: microLEDs for display applications is a very hot topic and Apple is strongly commited to the development of its own technology. Las Vegas Consumer Electronics Show 2018 (1) and now Bloomberg, the high tech planet is revolving around microLED technologies. Indeed, last week, the financial news media giant published an article highlighting microLED which generated substantial interest and debate from Wall Street . According to Mark Gurman from Bloomberg (2), despite some ups and downs since it acquired the microLED start up Luxvue in 2014, Apple is still committed to the technology and hoping to begin mass production within the next few years.

illus_microled_ip_apple-luxvue_yole_jan2018

The recent report, “MicroLED Displays: Intellectual Property Landscape” released by Yole Développement (Yole) and its partner, Knowmade beginning of 2018, confirms substantial microLED IP development has been underway at Apple. In this patent landscape analysis, Apple ranks first in term of the size, strength and depth of its portfolio with more than 60 patent families.

“Apple has been working on IP development to master all key elements of a new microLED display technology”, asserts Dr. Eric Virey, Technology & Market Analyst from Yole. And he adds “If successful, the expectation is that they will rapidly move on to establish a supply chain, possibly handling some aspects of design and manufacturing internally”

Apple’s portfolio covers many thrust areas and shows a strong commitment to tackle all the major technology bottlenecks that have so far prevented the technology from reaching the market.
The bulk of the development effort, however, is focused on transfer, assembly and interconnects, with more than 40 patents. The emphasis is on the company’s MEMS-based microchip transfer technology that was at the core of Luxvue effort.

Other key patents cover multiple aspects of microLED technologies such as improving the efficiency of microLED chips, another challenge that has been vexing companies trying to leverage the large efficiency gains that microLED display could offers. Color conversion, light management, pixel and display architectures, testing, and integration of sensors are other key aspects which Apple is addressing in its portfolio.

“A detailed analysis of Apple’s portfolio is a good indication of its technology advancement”, explains Dr. Nicolas Baron, CEO & Founder of Knowmade, partner of Yole.“Because of its strong and broad patent portfolio, Apple is showing a clear positioning in this domain and announces its strategy to become a leader in this up and coming industry”.

However, it’s not enough to guaranty exclusivity and full freedom of exploitation.. While the bulk of the microLED display research effort started around 2010, digging deeper into the global microLED IP landscape reveals some important patents filed by companies like Sony, Sharp and various research organizations all the way back to the early 2000’s.

Enabling microLED displays requires bringing together three major levels of expertise: LED, transistor backplanes (glass or Si-CMOS based) and chip transfer. The supply chain is complex and lengthy compared to that of traditional displays. Each process is critical and managing every aspect effectively will be challenging. No one company appears today positioned to execute across these multiple technologies and be able to vertically integrate all of the components. Today the IP landscape reflects those challenges through the variety of players involved. Only a few companies including Apple, have a broad microLED IP portfolio, but enough have patents on key technology bricks to predict that complex licensing and legal battles will arise if and when microLED displays enter volume manufacturing.

MicroLED technology could be the holy grail of display companies. Therefore, it could represent an opportunity to strongly differentiate from the crowded LCD and soon-to-be-crowded OLED display industries. Recent investments by Facebook, Sharp/Foxconn, Google, Intel and Samsung confirm the growing interest and point toward a challenging but exciting future for microLEDs.

“It remains to be seen who will be first to market”, asks Dr. Eric Virey from Yole. “With more than 120 companies involved and the efforts accelerating at all major companies, there is no doubt that the buzz will keep increasing and the industry landscape evolve at an accelerating pace.”

Yole Group of Companies including Yole and Knowmade keeps its fingers on the pulse of this promising technology. The full article is available on i-micronews.com.
And the Group will keep delivering up to date analysis. Dr Virey and Pars Mukish from Yole is also part of the key microLEDs conferences all year long. Next presentations will take place during the following conferences:

CS International Conference (April 10-11, Brussels, Belgium)
• “Revolutionising displays with MicroLEDs” on April 11 at 9:20AM
Pars Mukish, Business Unit Manager, Solid State Lighting & Displays

Display Week (May 21-25 – Los Angeles, CA, USA):
•  “Economic Health of the Display Supply Chain/Where Is the Growth and Profits/Best Investment Outlook”on May 21 at 8:10AM
•  “Status and Prospects of microLED Displays” on May 24 at 9:00AM
Dr. Eric Virey, Senior Technology & Market Analyst, MicroLED

The market for organic materials used to manufacture organic light-emitting diode (OLED) display panels jumped during the second half of 2017, according to IHS Markit (Nasdaq: INFO), a world leader in critical information, analytics and solutions. The market, as measured by revenue, was estimated to be $355 million in the second half of 2017, up 20 percent from the first half of the year.

According to the OLED Materials Market Tracker by IHS Markit, in 2016 and the first half of 2017, the OLED materials market seemed saturated, posting revenues at almost the same level. However, the sudden spike in growth was observed in the second half of 2017.

“The growth of OLED materials demand has been offset by price reduction, resulting in the market saturation until mid-2017.” said Jimmy Kim, Ph.D. and senior principal analyst at IHS Markit. “However, the launch of the iPhone X as well as the expansion of OLED panel manufacturing capacity boosted the demand in the second half.”

Revenue_forecast_for_OLED_materials_market

The iPhone X, Apple’s first OLED panel-using smartphone, was launched in the third quarter of 2017 and it brought a huge additional demand for OLED materials. At the same time, LG Display has set up a new E4-2 fab for OLED TV panels.

“Apple is expected to apply OLED panels to more of its products and OLED TV is also one of the most emerging products in the TV market,” Kim said. “Considering demand growth and current investment plans regarding OLED manufacturing capacities, the OLED materials market is expected to continue to grow until 2020, reaching $824 million by the second half of 2020.

The OLED Material Market Tracker by IHS Markit includes market analysis and forecasts for organic light-emitting materials, consumption of the materials by AMOLED panel makers, and the status of organic light-emitting materials suppliers.

By Jay Chittooran, SEMI Public Policy

Following through on his 2016 campaign promise, President Trump is implementing trade policies that buck conventional wisdom in Washington, D.C. and among U.S. businesses. Stiff tariffs and the dismantling of longstanding trade agreements – cornerstones of these new actions – will ripple through the semiconductor industry with particularly damaging effect. China, a chief target of criticism from President Trump, has again found itself in the crosshairs of the administration, with trade tensions rising to a fever pitch.

The Trump Administration has long criticized China for what it considers unfair trade practices, often zeroing in on intellectual property. In August 2017, the Office of the U.S. Trade Representative (USTR), charged with developing and recommending U.S trade policy to the president, launched a Section 301 investigation into whether China’s practice of forced technology transfer has discriminated against U.S. firms. As the probe continues, it is becoming increasingly clear that the United States will impose tariffs on China based on its current findings. Reports suggest that the tariffs could come soon, hitting a range of products from consumer electronics to toys. Other measures could include tightening restrictions on the trade of dual-use goods – those with both commercial and military applications – curbing Chinese investment in the United States, and imposing strict limits on the number of visas issued to Chinese citizens.

With China a major and intensifying force in the semiconductor supply chain, raising tariffs hangs like the Sword of Damocles over the U.S. and global economies. A tariff-ignited trade war with China could stifle innovation, undermine the long-term health of the semiconductor industry, and lead to unintended consequences such as higher consumer prices, lower productivity, job losses and, on a global scale, a brake on economic growth.

Other recently announced U.S. trade actions could also cloud the future for semiconductor companies. The Trump administration, based on two separate Section 232 investigations claiming that overproduction of both steel and aluminum are a threat to U.S. national security, recently levied a series of tariffs and quotas on every country except Canada and Mexico. While these tariffs have yet to take effect, the mere prospect has angered U.S. trading partners – most notably Korea, the European Union and China. Several countries have threatened retaliatory action and others have taken their case to the World Trade Organization.

Trade is oxygen to the semiconductor industry, which grew by nearly 30 percent last year and is expected to be valued at an estimated $1 trillion by 2030. Make no mistake: SEMI fully supports efforts to buttress intellectual property protections. However, the Trump administration’s unfolding trade policy could antagonize U.S. trade partners.

For its part, SEMI is weighing in with USTR on these issues, underscoring the critical importance of trade to the semiconductor industry as we educate policymakers on trade barriers to industry growth and encourage unobstructed cross-border commerce to advance semiconductors and the emerging technologies they enable. On behalf of our members, we continue our work to increase global market access and lessen the regulatory burden on global trade. If you are interested in more information on trade, or how to be involved in SEMI’s public policy program, please contact Jay Chittooran, Public Policy Manager, at [email protected].

Originally published on the SEMI blog.

After two years of unprecedented capacity expansion, South Korean flat panel display (FPD) manufacturers will essentially halt new active-matrix organic light-emitting diode (AMOLED) panel factory construction for mobile applications in 2018. At the same time, their Chinese rivals are continuing to build new factories as fast as they can, according to IHS Markit (Nasdaq: INFO).

18.03.12_mobile_AMOLED_application_monthly_capacity

Since the third quarter of 2017, South Korean FPD makers have been reevaluating the pace of their AMOLED expansion strategies. By the end of January 2018, with demand falling short of expectations and suffering from low factory utilization rates, they delayed all major capacity expansion plans, including several cases of deferring the ramp of equipment already installed.

As the market matures, concern is cumulating that smartphone sales may not continue to increase at rates as high as previously hoped for. With display and smartphone performance specifications already excellent, the replacement cycle is lengthening. Furthermore, adoption of high-end flexible AMOLED panels in a wider range of models is being restricted by high prices that are still about two times those of equivalently specified liquid crystal displays (LCDs).

“After doubling AMOLED capacity for mobile applications in the past two years, a slowdown in facility investment in South Korea is not surprising,” said Charles Annis, senior director at IHS Markit. “Even so, the freezing of all new investments and multiple mass production schedules suggests recognition that continued capacity additions will outpace the market’s ability to absorb them.”

Despite market concerns and changing investment plans in South Korea, Chinese FPD makers are still pushing ahead with their own aggressive new AMOLED factory plans, at least for now. According to the AMOLED and LCD Supply Demand & Equipment Tracker by IHS Markit, ramped Chinese AMOLED capacity will rise from just 228,000 square meters per year in 2016 to 8.3 million square meters in 2020, at a compound annual growth rate of 145 percent.

Chinese makers are not immune to challenges in the smartphone and flexible AMOLED market, and in most cases, they have not yet proven their ability to manufacture premium flexible AMOLED panels at high volume. Regardless, with strong financial backing from local governments, most projects are still moving forward as planned, and will likely continue until credit begins to tighten.

South Korean panel makers are carefully watching how fast the market for AMOLED displays is increasing and are prudently adjusting capacity plans. Chinese makers have less flexibility and less motivation to change strategies due to contracts with local governments in multiple locations across the country.

“The rationalization of how fast the mobile AMOLED display market can grow does raise questions,” Annis said. “What will drive a renewal of investment in South Korea and how will Chinese FPD makers fill their new fabs?”

“Reduced panel prices will enable AMOLEDs to compete more on performance and form factor advantages over LCDs, while new applications, particularly foldable displays, will increase average panel size. Both of these trends have the potential to significantly drive future demand; however, in the shorter term, they remain elusive targets due to high costs and remaining technical barriers,” Annis said.

Thanks to a sudden increase in demand , shipment revenue of flexible active-matrix organic light-emitting diode (AMOLED) displays more than tripled in 2017, accounting for 54.6 percent of total AMOLED panel shipment revenue, according to business information provider IHS Markit (Nasdaq: INFO).

The flexible AMOLED panel market expanded by about 250 percent in 2017 to $12 billion from $3.5 billion in 2016, while rigid AMOLED panel shipment revenue contracted by 14 percent during the same period. Samsung Display started supplying its flexible AMOLED displays for the iPhone X in the third quarter of 2017, which greatly contributed to the overall shipment revenue increase. LG Display, BOE and Kunshan Govisionox Optoelectronics also started producing flexible AMOLED panels for smartphones and smartwatches in 2017, helping the market growth.

“High-end smartphone brands have increasingly applied flexible AMOLED panels to their products for unique and special design,” said Jerry Kang, senior principal analyst at IHS Markit. “The number of flexible AMOLED panel suppliers is also increasing, but the supplying capacity is still concentrated in Samsung Display.”

The flat type flexible AMOLED panels accounted for about a half of total flexible AMOLED shipment units in 2017, shifting from the curved type that used to be the major flexible AMOLED display form factor until 2016.

“As Apple applied the flat type to the iPhone X, the form factor of smartphone displays has diversified,” Kang said.

According to the latest AMOLED & Flexible Display Intelligence Service by IHS Markit, the demand for flexible AMOLED panels is not expected to grow as fast as supply capacity in 2018. “In a way to overcome potential oversupply, many panel makers are trying to develop another innovative form factor, such as foldable or rollable, within a few years,” Kang said.

02.27.18_Shipment_revenue_of_AMOLED_panels

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Enabling the AI Era with Materials Engineering

Screen Shot 2018-03-05 at 12.24.49 PMPrabu Raja, Senior Vice President, Semiconductor Products Group, Applied Materials

A broad set of emerging market trends such as IoT, Big Data, Industry 4.0, VR/AR/MR, and autonomous vehicles is accelerating the transformative era of Artificial Intelligence (AI). AI, when employed in the cloud and in the edge, will usher in the age of “Smart Everything” from automobiles, to planes, factories, buildings, and our homes, bringing fundamental changes to the way we live

Semiconductors and semiconductor processing technol- ogies will play a key enabling role in the AI revolution. The increasing need for greater computing perfor- mance to handle Deep Learning/Machine Learning workloads requires new processor architectures beyond traditional CPUs, such as GPUs, FPGAs and TPUs, along with new packaging solutions that employ high-density DRAM for higher memory bandwidth and reduced latency. Edge AI computing will require processors that balance the performance and power equation given their dependency on battery life. The exploding demand for data storage is driving adoption of 3D NAND SSDs in cloud servers with the roadmap for continued storage density increase every year.

In 2018, we will see the volume ramp of 10nm/7nm devices in Logic/Foundry to address the higher performance needs. Interconnect and patterning areas present a myriad of challenges best addressed by new materials and materials engineering technologies. In Inter- connect, cobalt is being used as a copper replacement metal in the lower level wiring layers to address the ever growing resistance problem. The introduction of Cobalt constitutes the biggest material change in the back-end-of-line in the past 15 years. In addition to its role as the conductor metal, cobalt serves two other critical functions – as a metal capping film for electro- migration control and as a seed layer for enhancing gapfill inside the narrow vias and trenches.

In patterning, spacer-based double patterning and quad patterning approaches are enabling the continued shrink of device features. These schemes require advanced precision deposition and etch technologies for reduced variability and greater pattern fidelity. Besides conventional Etch, new selective materials removal technologies are being increasingly adopted for their unique capabilities to deliver damage- and residue-free extreme selective processing. New e-beam inspection and metrology capabilities are also needed to analyze the fine pitch patterned structures. Looking ahead to the 5nm and 3nm nodes, placement or layer-to-layer vertical alignment of features will become a major industry challenge that can be primarily solved through materials engineering and self-aligned structures. EUV lithography is on the horizon for industry adoption in 2019 and beyond, and we expect 20 percent of layers to make the migration to EUV while the remaining 80 percent will use spacer multi- patterning approaches. EUV patterning also requires new materials in hardmasks/underlayer films and new etch solutions for line-edge-roughness problems.

Packaging is a key enabler for AI performance and is poised for strong growth in the coming years. Stacking DRAM chips together in a 3D TSV scheme helps bring High Bandwidth Memory (HBM) to market; these chips are further packaged with the GPU in a 2.5D interposer design to bring compute and memory together for a big increase in performance.

In 2018, we expect DRAM chipmakers to continue their device scaling to the 1Xnm node for volume production. We also see adoption of higher perfor- mance logic technologies on the horizon for the periphery transistors to enable advanced perfor- mance at lower power.

3D NAND manufacturers continue to pursue multiple approaches for vertical scaling, including more pairs, multi-tiers or new schemes such as CMOS under array for increased storage density. The industry migration from 64 pairs to 96 pairs is expected in 2018. Etch (high aspect ratio), dielectric films (for gate stacks and hardmasks) along with integrated etch and CVD solutions (for high aspect ratio processing) will be critical enabling technologies.

In summary, we see incredible inflections in new processor architectures, next-generation devices, and packaging schemes to enable the AI era. New materials and materials engineering solutions are at the very heart of it and will play a critical role across all device segments.

BY AJIT MANOCHA, President and CEO of SEMI

2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth. The equipment industry surpassed revenue levels last reached in the year 2000. Semicon- ductor equipment posted sales of nearly $56B and semiconductor materials $48B in 2017. For semiconductor equipment, this was a giant 36 percent year-over-year growth. Samsung, alone, invested $26B in semiconductor CapEx in 2017 – an incredible single year spend in an incredible year.

MEMS and Sensors gained new growth in telecom and medical markets, adding to existing demand from automotive, industrial and consumer segments. MEMS is forecast to be a $19B industry in 2018. Flexible hybrid electronics (FHE) is also experiencing significant product design and functionality growth with increasing gains in widespread adoption.

No longer isa single monolithic demand driver propelling the electronics manufacturing supply chain. The rapidly expanding digital economy continues to foster innovation with new demand from the IoT, virtual and augmented reality (VR/AR), automobile infotainment and driver assistance, artificial intelligence (AI) and Big Data, among others. With the explosion in data usage, memory demand is nearly insatiable, holding memory device ASPs high and prompting continued heavy investment in new capacity.

2018 is forecast to be another terrific year. IC revenues are expected to increase another 8 percent and semiconductor equipment will grow 11 percent. With diverse digital economy demand continuing, additional manufacturing capacity is being added in China as fab projects come on line to develop and increase the indigenous semiconductor supply chain.

So, why worry?

The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Can the industry sustain this growth? The electronics manufacturing supply chain has demonstrated it can generally scale and expedite production to meet the massive new investment projects. The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Talent has become a pinch point. In Silicon Valley alone, SEMI member companies have thousands of open positions. Globally, there are more than 10,000 open jobs. Attracting new candidates and developing a global workforce are critical to sustaining the pace of innovation and growth.
Data management and effective data sharing are keys to solving problems faster and making practical novel but immature processes at the leading edge. It is ironic that other industries are ahead of semiconductor manufac- turing in harnessing manufacturing data and leveraging AI across their supply chains. Without collaborative Smart Data approaches, there is jeopardy of decreasing the cadence of Moore’s Law below the 10 nm node.

EH&S is critical for an industry that now uses the majority of the elements of the periodic table to make chips – at rates of more than 50,000 wafer starts per month (wspm) for a single fab. The industry came together strongly in the 1990s to develop SEMI Safety Standards and compliance methodologies. Since then, the number of EH&S profes- sionals engaged in our industry has declined while the number of new materials has exploded, new processing techniques have been developed, and manufacturing is expanding across China in areas with no prior semicon- ductor manufacturing experience.

HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field.

To ensure we don’t slow growth, the industry will need to work together in 2018 in these three key areas:

Talent development needs to rapidly accelerate by expanding currently working programs and adding additional means to fill the talent funnel. The SEMI Foundation’s High Tech University (HTU) works globally with member companies to increase the number of high school students selecting Science, Technology, Engineering, and Math (STEM) fields – and provides orientation to the semiconductor manufacturing industry. HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field. SEMI will increase the number of HTU sessions in 2018.

Plans have already been approved by SEMI’s Board of Directors to work together with SEMI’s membership to leverage existing, and pioneer new, workforce development programs to attract and develop qualified candidates from across the age and experience spectrum (high school through university, diversity, etc.). Additionally, an industry awareness campaign will be developed and launched to make more potential candidates attracted to our member companies as a great career choice. I’ll be providing you with updates on this initiative – and asking for your involvement
– throughout 2018.

Data management is a broad term. Big Data, machine learning, AI are terms that today mean different things to different people in our supply chain. What is clear is that to act together and take advantage of the unimaginable amounts of data being generating to produce materials and make semiconductor devices with the diverse equipment sets across our fabs, we need a common understanding of the data and potential use of the data.

In 2018, SEMI will launch a Smart Data vertical application platform to engage stakeholders along the supply chain to produce a common language, develop Standards, and align expectations for sharing data for mutual benefit. Bench- marking of other industries and pre-competitive pilot programs are being proposed to learn and, here too, we need the support and engagement of thought leaders throughout SEMI’s membership.

EH&S activity must intensify to maintain safe operations and to eliminate business interruptions from supply chain disruptions. There is potential for disruptions from material bans such as the Stockholm Convention action on PFOA and arising from the much wider range of chemicals and materials being used in advanced manufacturing. Being able to reliably identify these in time to guide and coordinate industry action will take a reinvigorated SEMI EH&S stewardship and membership engagement.

As China rapidly develops new fabs in many provinces – some with only limited prior experience and infrastructure – SEMI EH&S Standards orientation and training will accelerate the safe and sustainable operation of fabs, enabling them to keep pace with the ambitious growth trajectory our industry is delivering. In 2018, we’ll be looking for a renewed commitment to EH&S and sustainability for the budding challenges of new materials, methods, and emerging regions.

Remarkable results from a remarkable membership

Thank you all for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

In a quick review of 2017, I would like to thank SEMI’s members for their incredible results and new revenue records. Foundational to that, SEMI’s members have worked together with SEMI to connect, collaborate, and innovate to increase growth and prosperity for the industry. These founda- tional contributions have been in expositions, programs, Standards, market data, messaging (communications), and workforce development (with HTU).

The infographic below captures these foundational accom- plishments altogether. SEMI strives to speed the time to better business results for its members across the global electronics manufacturing supply chain. To do so, SEMI is dependent upon, and grateful for, the support and volunteer efforts of its membership. Thank you for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

A new progress in the scaling of semiconductor quantum dot based qubit has been achieved at Key Laboratory of Quantum Information and Synergetic Innovation Center of Quantum Information & Quantum Physics of USTC. Professor GUO Guoping with his co-workers, XIAO Ming, LI Haiou and CAO Gang, designed and fabricated a quantum processor with six quantum dots, and experimentally demonstrated quantum control of the Toffoli gate. This is the first time for the realization of the Toffoli gate in the semiconductor quantum dot system, which motivates further research on larger scale semiconductor quantum processor. The result was published as ‘Controlled Quantum Operations of a Semiconductor Three-Qubit System ‘ (Physical Review Applied 9, 024015 (2018)).

This is the Toffoli Gate in a three-qubit system. Credit: University of Science and Technology of China

This is the Toffoli Gate in a three-qubit system. Credit: University of Science and Technology of China

Developing the scalable semiconductor quantum chip that is compatible with modern semiconductor-techniques is an important research area. In this area, the fabrication, manipulation and scaling of semiconductor quantum dot based qubits are the most important core technologies. Professor GUO Guoping’s group aims to master these technologies and has been devoted to this area for a long time. Before the demonstration of the three-qubit gate, they have realized ultrafast universal control of the charge qubit based on semiconductor quantum dots in 2013(Nature Communications. 4:1401 (2013)), and achieved the controlled rotation of two charge qubits in 2015(Nature Communications. 6:7681 (2015)).

The Toffoli gate is a three-qubit operation that changed the state of a target qubit conditioned on the state of two control qubits. It can be used for universal reversible classical computation and also forms a universal set of qubit gates in quantum computation together with a Hadamard gate. Furthermore, it is a key element in quantum error correction schemes. Implementation of the Toffoli gate with only single- and two-qubit operations requires six controlled-NOT gates and ten single-qubit operations.

As a result, a single-step Toffoli gate can reduce the number of quantum operations dramatically, which can break the limit of coherence time and improve the efficiency of quantum computing. Researchers from Guo’s group found the T-shaped six quantum dot architecture with openings between control qubits and the target qubit can strengthen the coupling between qubits with different function and minimize it between qubits with the same function, which satisfies the requirements of the Toffoli gate well. Using this architecture with optimized high frequency pulses, researchers demonstrated the Toffoli gate in semiconductor quantum dot system in the world for the first time, which paves the way and lays a solid foundation for the scalable semiconductor quantum processor.

The reviewer spoke highly of this work, and thought this is an important progress in the field of semiconductor quantum dot based quantum computing.”The work is detailed and clearly demonstrates a high level of experimental technique and would be of high interest to people working in the field of electrostatically defined quantum dots for quantum computation”.

 

Researchers have developed an imaging technique that uses a tiny, super sharp needle to nudge a single nanoparticle into different orientations and capture 2-D images to help reconstruct a 3-D picture. The method demonstrates imaging of individual nanoparticles at different orientations while in a laser-induced excited state.

The findings, published in The Journal of Chemical Physics, brought together researchers from the University of Illinois and the University of Washington, Seattle in a collaborative project through the Beckman Institute for Advanced Science and Technology at the U. of I.

Nanostructures like microchip semiconductors, carbon nanotubes and large protein molecules contain defects that form during synthesis that cause them to differ in composition from one another. However, these defects are not always a bad thing, said Martin Gruebele, the lead author and an Illinois chemistry professor and chair.

“The term ‘defect’ is a bit of a misnomer,” Gruebele said. “For example, semiconductors are manufactured with intentional defects that form the ‘holes’ that electrons jump into to produce electrical conductivity. Having the ability to image those defects could let us better characterize them and control their production.”

As advances in technology allow for smaller and smaller nanoparticles, it is critical for engineers to know the precise number and location of these defects to assure quality and functionality.

The study focused on a class of nanoparticles called quantum dots. These dots are tiny, near-spherical semiconductors used in technology like solar panels, live cell imaging and molecular electronics – the basis for quantum computing.

The team observed the quantum dots using a single-molecule absorption scanning tunneling microscope fitted with a needle sharpened to a thickness of only one atom at its tip. The needle nudges the individual particles around on a surface and scans them to get a view of the quantum dot from different orientations to produce a 3-D image.

The researchers said there are two distinct advantages of the new SMA-STM method when compared with the current technology – the Nobel Prize-winning technique called cryogenic electron tomography.

For a video related to this research can be found here.

“Instead of an image produced using an average of thousands of different particles, as is done with CryoET, SMA-STM can produce an image from a single particle in about 20 different orientations,” Gruebele said. “And because we are not required to chill the particles to near-absolute zero temperatures, we can capture the particles at room temperature, not frozen and motionless.”

The researchers looked at semiconductor quantum dots for this study, but SMA-STM can also be used to explore other nanostructures such as carbon nanotubes, metal nanoparticles or synthetic macromolecules. The group believes the technique can be refined for use with soft materials like protein molecules, Gruebele said.

The researchers are working to advance SMA-STM into a single-particle tomography technique, meaning that they will need to prove that method is noninvasive.

“For SMA-STM to become a true single-particle tomography technique, we will need to prove that our nudges do not damage or score the nanoparticle in any way while rolled around,” Gruebele said. “Knocking off just one atom can fundamentally alter the defect structure of the nanoparticle.”

Despite slower demand from end market and panel price erosion, the large thin-film transistor (TFT) display market expanded in 2017 in all three aspects — unit shipments, area shipments and revenue. According to a new report from business information provider IHS Markit (Nasdaq: INFO), unit shipments of larger than 9-inch TFT displays increased by 4 percent in 2017 compared to a year ago, while area shipments rose 6 percent and revenues up 13 percent during the same period.

“Revenue growth was higher than that of area shipments, which was again bigger than that of unit shipments. This indicates that the display market is moving to larger screens in all applications, and the penetration of high specification products with a higher price tag, such as high resolution, wide viewing angle and slim design panels, has increased,” said Robin Wu, principal analyst at IHS Markit. Large TFT display revenues reached $63.7 billion in 2017, according to the latest Large Area Display Market Tracker by IHS Markit.

02.13.18_large_display_area_shipments 02.13.18_large_display_unit_shipments

By area shipments, TV displays, which grew 6 percent year over year, accounted for 78 percent of total large TFT display market, leading the overall market growth. Despite ongoing decline in TV panel prices, which started in the middle of 2017, revenue continued to grow by a double digit as panel makers have focused on high-end products, such as 4K TVs and 55-inch-and-larger TVs. Shipments of 4K TV panels amounted to 92 million units in 2017, up 46 percent year over year, making up 35 percent of the entire TV display market. OLED TV panels also continued its growth, marking unit shipments of 1.8 million with a 102 percent growth from 2016.

BOE led the large TFT display market with a 21 percent share in 2017 in terms of unit shipments, followed by LG Display with 20 percent and Innolux with 16 percent. It was the first time that a Chinese panel maker took the top position in an annual base result. However, in the TV panel market by unit shipments, LG Display retained its lead with a 19 percent share, followed by BOE with 17 percent. In terms of area shipments, South Korean panel makers remained strong, with LG Display accounting for 23 percent and Samsung Display for 17 percent.

The Large Area Display Market Tracker by IHS Markit provides information about the entire range of large display panels shipped worldwide and regionally, including monthly and quarterly revenues and shipments by display area, application, size and aspect ratio for each supplier.