Category Archives: LED Manufacturing

With a combined 500 booths, the exhibitions and conferences of LED Taiwan, opening tomorrow in Taipei, will attract over 12,000 visitors. Organized by SEMI and the Taiwan External Trade Development Council (TAITRA), LED Taiwan will be held simultaneously with 2017 Taiwan International Lighting Show (TiLS), serving as a one-stop platform for exchanging and sourcing LED manufacturing and lighting technologies, offering opportunities to meet potential partners, industry elites, and over 150 leading companies from the LED supply chain. The event is held at TWTC Nangang Exhibition Hall April 12-15.

The four-day LED Taiwan showcases a wide range of technology innovations and solutions in the six pavilions ─ High-Brightness LED, LED components, LED Manufacturing Equipment and Material Pavilion, IR/UV, Laser, and Sapphire. Leading players in the areas of LED equipment, materials, components and packaging ─ like Aurora Optoelectronics, Crystalwise Technology, EPILEDS, EPISTAR, Evest Corporation, Lite-On, NAURA Microelectronics Equipment, RAPITECH, Sentec, TAIKKISO and Yellow Stone Corp ─ are showcasing their products in the exhibition to help local and foreign visitors understand the structure, manufacturing processes and technologies of Taiwan’s LED industry.

To enable innovation and energize the show floor, events at TechSTAGE will focus on three topics this year, with presenters from leading companies:

  • LED Manufacturing Equipment & Materials: Atom Semicon, Aurotek, Galaxy Technology Development, Materials Analysis Technology,  Monocrystal, and Sil-More Industrial,
  • LED Advanced Technologies: ALLOS Semiconductors, CORIAL, Epileds Technologies, EPISTAR, MIRDC,TAIKKISO, UTITECH Technology, and Yole Developpement
  • Smart Lighting & Automobile Lighting: Billion Electric, High Power Lighting, MacAdam, National Chung Cheng University, Osram Taiwan, and PlayNitride

In addition, the IR/UV Summit focuses on IR and UV technologies and application products, with the latest research and development findings. The Academia Poster Section includes 46 papers from experts.

More than 12,000 visitors from over 60 countries and leading manufacturers will convene at LED Taiwan 2017.  Business events, forums, networking sessions and meetings enable Taiwan exhibitors and attendees to expand connections and secure business opportunities by gathering leading members of global industrial and academic circles.

To gain insights into the latest technologies and opportunities, attend LED Taiwan (April 12-15). Please visit:  www.ledtaiwan.org/en/ (English) or www.ledtaiwan.org/zh/ (Chinese).

Combined sales for optoelectronics, sensors and actuators, and discrete semiconductors increased 2% in 2016 to reach a seventh consecutive record-high level of $67.9 billion, but growth rates in the three market segments were all over the map last year. Optoelectronics sales fell 4% in 2016, primarily because of the first decline in lamp devices in 15 years due to an oversupply of high-brightness light-emitting diodes (LEDs) for solid-state lighting applications, but the slump was offset by a 16% increase in revenues for sensors and actuators along with a modest 4% rise in discretes, according to IC Insights’ new 2017 O-S-D Report—A Market Analysis and Forecast for Optoelectronics, Sensors/Actuators, and Discretes.

The new 360-page report shows O-S-D products generated 19% of total semiconductor sales in 2016, with the rest of the dollar volume coming in integrated circuits ($297.7 billion, which was a 4% increase from 2015).  IC Insights believes optoelectronics, sensors/actuators, and discretes sales will stabilize in 2017 and gradually return to more normal growth rates in the 2016-2021 forecast period of the new O-S-D Report (Figure 1).

Figure 1

Figure 1

Slight improvements in the weak global economy, steady increases in electronics production, and new end-use applications—such as wearable systems, billions of connections to the Internet of Things (IoT), the spread of image recognition in all types of equipment, and the proliferation of LED lighting around the world—are forecast to lift the three O-S-D markets in the next five years to $92.2 billion, which is a compound annual growth rate (CAGR) of 6.3% from 2016 compared to a projected CAGR of 5.7% for ICs.  The newly released 2017 O-S-D Report offers detailed market forecasts of the optoelectronics, sensor/actuator, and discretes market segments through 2021. A summary of how the three O-S-D market segments performed in 2016 and their outlooks for 2017 are shown below.

Optoelectronics sales fell 3.6% in 2016 to $33.9 billion, suffering their first setback in eight years. Sales of lamp devices, the largest optoelectronics product category, declined 8%.  Meanwhile, an oversupply of high-brightness LEDs for solid-state lighting applications also dragged the market down. The downturn is expected to be short lived as image sensors, especially those made with CMOS technology, are in the midst of a major new wave of growth, driven by new embedded cameras and digital imaging applications in automotive, medical, machine vision, security, wearable systems, and user-recognition interfaces.  Laser transmitters are also hitting new record-high sales because of the build-out of high-speed optical networks for huge increases in Internet traffic, digital video transmissions, cloud-computing services, and billions of new IoT connections in the coming years. Total optoelectronics sales are expected to grow 7.5% in 2017 to reach a new record high of $36.5 billion.

Sensors/Actuators, the smallest and until recently the fastest-increasing semiconductor market, ended four straight years of severe price erosion in 2016 and finally benefitted from strong unit growth. Sensors/actuator sales climbed 15.9% to a record-high $11.9 billion.  All major sensor product categories (pressure, acceleration/yaw, and magnetic sensors) and the large actuator segment saw double-digit sales growth in 2016.  The sensors/actuators market is projected to rise 7.8% in 2017 to reach a new record-high level of $12.8 billion.  In the next five years, sensors/actuators sales are forecast to be driven by the spread of automated embedded-control functions in vehicles (including autonomous driving capabilities), flying drones, industrial and robotic systems, home electronics, and measurement units being tied to IoT.

Discretes, the semiconductor industry’s oldest market, returned to normal growth in 2016 with sales increasing 4.2% to $22.1 billion.  In the last seven years, worldwide discretes sales have swung back and forth between strong increases and declines because systems manufacturers tend to abruptly cancel purchases whenever the economy and end-use product markets appears to be slowing, but then quickly resume buying to replenish factory inventories once the outlook improves.  With inventories being replenished in much of 2016, growth returned to five out of the six discretes product categories—power transistors, small-signal transistors, diodes, rectifiers, and miscellaneous “other” discretes group. The only sales drop in discretes was recorded by thyristors.  Total discretes revenues are forecast to rise 4.7% in 2017 to a new record-high $23.1 billion.

Cree, Inc. (Nasdaq: CREE) announces the new XLamp XP-G3 Royal Blue LED, the industry’s highest performing Royal Blue LED. The new XP-G3 LED doubles the maximum light output of similar size competing LEDs and delivers breakthrough wall-plug efficiency of up to 81 percent. This superior performing Royal Blue LED expands Cree’s leading high power portfolio, enabling lighting manufacturers to deliver differentiated LED solutions for applications such as horticulture, architectural and entertainment lighting.

royal blue led

Using the new XP-G3 Royal Blue LED and the recently introduced XP-E High Efficiency Photo Red LED, Cree has created a new horticulture reference design that achieves a Photosynthetic Photon Flux (PPF) efficiency of up to 3.2 μmol/J at steady-state, which is over 50 percent more efficient than the traditional high pressure sodium solutions in use today. The XP-G3 Royal Blue LED delivers up to 3402 mW radiant flux, which corresponds to 13 μmol/s PPF, at its 2A maximum current and 85 C junction temperature.

“Our newest horticulture-optimized products help lighting manufacturers push LED horticulture systems into mainstream use,” said Dave Emerson, Cree LEDs senior vice president and general manager. “Cree’s high power LED technology provides the best combination of photon output, efficiency and reliability to drive the replacement of outdated high pressure sodium lights with LED lighting solutions that minimize power consumption and maximize crop yield.”

The XP-G3 Royal Blue LED is built on Cree’s ceramic high-power technology, which can deliver excellent lifetimes even at the extreme temperature of 105 C. Additionally, horticulture lighting manufacturers can immediately take advantage of the existing ecosystem of drivers and optics proven to work with Cree’s other 3.45 mm footprint XP products to shorten their time to market.

Ultratech, Inc. (Nasdaq: UTEK), a supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HB-LEDs), as well as atomic layer deposition (ALD) systems, this week announced that two China foundries placed follow-on orders for laser spike anneal systems. Ultratech’s LSA101 laser spike anneal systems will be used for 40- and 28-nm production. The LSA101 dual-beam tools were chosen over competing systems due to greater flexibility and capability for annealing with low overall thermal budgets. Ultratech plans to ship the LSA101 tools to the customers’ foundries to China in Q1 2017.

The low cost of 28nm planar technology continues to drive growth and numerous foundries are ramping capacity expansion to take advantage of the optimal performance-to-cost ratio at this geometry. Foundries in Asia are leveraging the value proposition offered at the 28-nm node to meet the strong demand for low-cost chips for mobile devices. The LSA101 dual-beam system is designed for advanced applications, such as gate stack formation, silicide or post-silicide anneal to deliver leading technology in a cost-effective solution. Cost-driven foundries value Ultratech’s LSA101 systems due to the impressive flexibility to meet requirements for today’s volume production at 40-nm, 28-nm, and extendibility to 14-nm, 10-nm and below nodes.

“These follow-on orders strengthen our dominant position for advanced millisecond anneal within the foundry market in China,” said Jim McWhirter, Ph.D., vice president and senior scientist, laser technology at Ultratech. “While we are currently working with these customers to ramp capacity for 40- and 28-nms, the LSA101 system has demonstrated extendibility for advanced FinFET nodes. As a result, building on our long-term relationships, we can effectively work with our customers using our LSA systems to support their planer device applications today with extendibility for their future FinFET device roadmaps. Ultratech’s product focus remains targeted at meeting customer requirements for their advanced millisecond annealing applications.”

Jülich researchers have succeeded in controlling the growth of organic molecules using a special trick. Molecules that repel each other play a key role in this process: due to their opposing forces, they always keep a certain distance from their neighbours. Therefore, they mix easily with a second, mutually attracting type of molecule that enters the spaces in-between and acts as a sort of “glue”. Tailored surface structures can thus be put together like pieces in a puzzle – in a seemingly self-solving manner. Applications in the field of organic electronics in particular could stand to benefit from this method.

Organic electronics is considered a pioneering technology of great promise. Organic light-emitting diodes, known as OLEDs, are today used all over the world. Further applications such as solar cells, sensors, and transistors are gradually finding their way into everyday use. However, as many fundamental correlations and processes have yet to be fully understood, these systems are still the subject of intensive ongoing research. In this context, the search for better mechanisms for the controlled and targeted production of active layer systems is one of the most important topics. Mixing molecules with opposing intermolecular interactions represents a possible new way of producing such structures in a targeted fashion.

Eutectic regions

In the system under study, the scientists at Forschungszentrum Jülich were able to observe three different monocrystalline mixed structures at different mixing ratios. Curiously, it is particularly interesting to study the system beyond the correct mixing ratio for these mixed crystalline phases. The scientists headed by Prof. Christian Kumpf from the Peter Grünberg Institute (PGI-3) found that in this case two phases coexist in equilibrium. In the phase diagram, this corresponds to eutectic regions, in which the equilibrium between the existing phases can be shifted in a large coverage regime by changing the mixing ratio, and thus the properties of the molecular layer can be tuned as desired.

In phase diagrams of conventional three-dimensional systems, usually no eutectic regions occur, but only eutectic points. This is, for example, the case for a number of metallic alloys, with soldering tin being a notable example. The large eutectic regions that occur in the heteromolecular layers investigated here are ultimately the result of the predefined size of the surface on which the molecules are adsorbed. The authors of the study were not only able to observe this behaviour experimentally, but also to explain it using fundamental thermodynamic considerations, and thus demonstrate that the existence of eutectic regions is a generic property of such two-dimensional mixed structures formed by molecules with opposing intermolecular interactions.

Over 60,000 attendees are expected at SEMICON China opening tomorrow at Shanghai New International Expo Centre (SNIEC). SEMICON China (March 14-16) offers the latest in technology and innovation for the electronics manufacturing industry. FPD China is co-located with SEMICON China, providing opportunities in this related market. Featuring nearly 900 exhibitors occupying nearly 3,000 booths, SEMICON China is the largest gathering of its kind in the world.

Worldwide fab equipment spending is expected to reach an industry all-time record, to more than US$46 billion in 2017, according to the latest version of the SEMI (www.semi.org) World Fab Forecast. In 2018, the record may break again, with spending close to the $50 billion mark.  SEMI forecasts that China will be third ($6.7 billion) for regional fab equipment spending in 2017, but its spending in 2018 may reach $10 billion – which would be a 55 percent increase year-over-year, placing China in second place for worldwide fab equipment spending in 2018.

On March 14, keynotes at SEMICON China include SMIC chairman of the Board Zhou Zixue. ASE Group director and COO Tien Wu, ASML president and CEO Peter Wennink, Intel VP Jun He, Lam Research CEO Martin Anstice, TEL CTO Sekiguchi Akihisa and imec president and CEO Luc Van den hove.

SEMICON China programs expand attendees’ knowledge, networking reach, and business opportunities. Programs this year feature a broad and deep range:

  • CSTIC: On March 12-13, the China Semiconductor Technology International Conference (CSTIC) precedes SEMICON China. CSTIC is organized by SEMI and imec and covers all aspects of semiconductor technology and manufacturing.
  • Technical and Business Programs: 
    • March 14: China Memory Strategic Forum.
    • March 15: Building China’s IC Ecosystem, Green High-Tech Facility Forum, and Smart Manufacturing Forum, in addition Power & Compound Semiconductor Forum (Day 1).
    • March 16: Smart Automotive Forum, MEMS & Sensors Conference Asia, plus Power & Compound Semiconductor Forum (Day 2)
  • Tech Investment Forum: On March 15, an international platform to explore investment, M&A, and China opportunities.
  • Theme Pavilions:  SEMICON China also features six exhibition floor theme pavilions: IC Manufacturing, LED and Sapphire, ICMTIA/Materials, MEMS, Touch Screen and OLED.
  • Networking Events: SEMI Industry Gala, China IC Night, and SEMI Golf Tournament

For additional information on sessions and events at SEMICON China 2017, please visit www.semiconchina.org/en/4.

The darkest form of ultraviolet light, known as UV-C, is unique because of its reputation as a killer – of harmful organisms.

With wavelengths of between 200 and 280 nanometers, this particular form of UV light penetrates the membranes of viruses, bacteria, mold and dust mites, attacking their DNA and killing them. Sanitization with UV-C light has been around for more than 100 years, following Niels Finsen’s discovery of UV light as an antidote to tuberculosis, which won the Faroese-Danish physician the 1903 Nobel Prize for Medicine.

Currently, most deep-UV lamps are mercury-based. They pose a threat to the environment, and are bulky and inefficient. A Cornell research group led by Huili (Grace) Xing and Debdeep Jena, along with collaborators from the University of Notre Dame, has reported progress in creating a smaller, more earth-friendly alternative.

Using atomically controlled thin monolayers of gallium nitride (GaN) and aluminum nitride (AlN) as active regions, the group has shown the ability to produce deep-UV emission with a light-emitting diode (LED) between 232 and 270 nanometer wavelengths. Their 232- nanometer emission represents the shortest recorded wavelength using GaN as the light-emitting material. The previous record was 239 nanometers, by a group in Japan.

“MBE-grown 232-270 nm deep-UV LEDs using monolayer thin binary GaN/AlN quantum heterostructures” was published online Jan. 27 in Applied Physics Letters.

Postdoctoral researcher SM (Moudud) Islam, the lead author, said: “UV-C light is very attractive because it can destroy the DNA of species that cause infectious diseases, which cause contamination of water and air.”

One of the major challenges with ultraviolet LEDs is efficiency, which is measured in three areas: injection efficiency – the proportion of electrons passing through the device that are injected into the active region; internal quantum efficiency (IQE) – the proportion of all electrons in the active region that produce photons or UV light; and light extraction efficiency – the proportion of photons generated in the active region that can be extracted from the device and are actually useful.

“If you have 50 percent efficiency in all three components … multiply all of these and you get one-eighth,” Islam said. “You’re already down to 12 percent efficiency.”

In the deep-UV range, all three efficiency factors suffer, but this group found that by using gallium nitride instead of conventional aluminum gallium nitride, both IQE and light extraction efficiency are enhanced.

Injection efficiency is improved through the use of a polarization-induced doping scheme for both the negative (electron) and positive (hole) carrier regions, a technique the group explored in previous work.

Now that the group has proven its concept of enhanced deep-UV LED efficiency, its next task is packaging it in a device that could one day go on the market. Deep-UV LEDs are used in food preservation and counterfeit currency detection, among other things.

Further study will include packaging both the new technology and existing technologies in otherwise similar devices, for the purpose of comparison.

“In terms of quantifying the efficiency, we do want to package it within the next few months and test it as if it was a product, and try to benchmark it against a product with one of the available technologies,” Jena said.

In cooperation with Okmetic Oy and the Polish ITME, researchers at Aalto University have studied the application of SOI (Silicon On Insulator) wafers, which are used as a platform for manufacturing different microelectronics components, as a substrate for producing gallium nitride crystals. The researchers compared the characteristics of gallium nitride (GaN) layers grown on SOI wafers to those grown on silicon substrates more commonly used for the process. In addition to high-performance silicon wafers, Okmetic also manufactures SOI wafers, in which a layer of silicon dioxide insulator is sandwiched between two silicon layers. The objective of the SOI technology is to improve the capacitive and insulating characteristics of the wafer.

The researchers used Micronova's cleanrooms and, in particular, a reactor designed for gallium nitride manufacturing. The image shows a six-inch substrate in the MOVPE reactor before manufacturing. Credit: Aalto University / Jori Lemettinen

The researchers used Micronova’s cleanrooms and, in particular, a reactor designed for gallium nitride manufacturing. The image shows a six-inch substrate in the MOVPE reactor before manufacturing. Credit: Aalto University / Jori Lemettinen

“We used a standardised manufacturing process for comparing the wafer characteristics. GaN growth on SOI wafers produced a higher crystalline quality layer than on silicon wafers. In addition, the insulating layer in the SOI wafer improves breakdown characteristics, enabling the use of clearly higher voltages in power electronics. Similarly, in high frequency applications, the losses and crosstalk can be reduced”, explains Jori Lemettinen, a doctoral candidate from the Department of Electronics and Nanoengineering.

‘GaN based components are becoming more common in power electronics and radio applications. The performance of GaN based devices can be improved by using a SOI wafer as the substrate’, adds Academy Research Fellow Sami Suihkonen.

SOI wafers reduce the challenges of crystal growth

Growth of GaN on a silicon substrate is challenging. GaN layers and devices can be grown on substrate material using metalorganic vapor phase epitaxy (MOVPE). When using silicon as a substrate the grown compound semiconductor materials have different coefficients of thermal expansion and lattice constants than a silicon wafer. These differences in their characteristics limit the crystalline quality that can be achieved and the maximum possible thickness of the produced layer.

‘The research showed that the layered structure of an SOI wafer can act as a compliant substrate during gallium nitride layer growth and thus reduce defects and strain in the grown layers”, Lemettinen notes. GaN based components are commonly used in blue and white LEDs. In power electronics applications, GaN diodes and transistors, in particular, have received interest, for example in frequency converters or electric cars. It is believed that in radio applications, 5G network base stations will use GaN based power amplifiers in the future. In electronics applications, a GaN transistor offers low resistance and enables high frequencies and power densities.

LG Innotek today announced that the company has developed the world’s first 70mw UV-C LED for sterilization applications. As its sterilization performance is 1.5 times higher than the competitor’s 45mW module.

UV-C LED produces the short wavelength ultraviolet rays in the range of 200 — 280nm, allowing it to be used for sterilization purpose. It prevents the proliferation of bacteria by destroying their DNA. LG Innotek’s product emits UV in the range of 280nm.

Until now, UV-C LED has been mainly applied in small sanitary products because its low optical power led to low sterilization performance. The power of LEDs for toothbrush sterilizers is 1mW and that for sterilizing the water tank of humidifier is 2mW.

LG Innotek improved UV-C LED’s optical power to 70mW by utilizing its proprietary LED vertical chip technology. While the product measures only 6mm in both its length and width, its sterilization performance is the world’s best.

The company overcomes the UV output limit with specialized LED chip technology. The epitaxial structure design and vertical chip technology to maximize light extraction have increased the output and ensured quality reliability by effectively exhausting heat.

As the company’s product is compact and boasts high sterilization performance, it can be applied to various fields such as water purifiers and air purifiers as it allows you to sterilize flowing water and air.

It is also good for use in hardening equipment in the manufacturing industry. The UV output is strong, the performance of the curing device can be enhanced.

Manufacturers of water purification, cleaning and curing device can benefit from stable supply of UV-C LEDs optimized for respective purposes from LG Innotek. Because LG Innotek is equipped with a consistent production system that produces Epi-wafers, chips, packages, and modules, and offers its products to its customers after rigorous quality management.

Along with its 280nm UV-C LED, LG Innotek has a lineup of LEDs from 365nm, 385nm, 395nm, and 405nm UV-A LEDs for general industrial purposes to 305nm UV-B LEDs for bio and medical purposes.

According to Yole Development, a market research firm, the UV LED market is forecast to grow from 130 million USD in 2015 to 270 million USD in 2017.

innotek

Ultratech, Inc. (Nasdaq:  UTEK), a supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HBLEDs), as well as atomic layer deposition (ALD) systems, today announced that it has received a repeat, multiple-system order from a leading semiconductor manufacturer for its advanced packaging AP300 lithography systems. The AP300 systems will be utilized for high-volume, leading-edge, fan-out wafer-level packaging (FOWLP) applications used to manufacture application processors. Ultratech will begin shipping the AP300 systems in the first two quarters of this year to the customer’s facility in Asia.

Ultratech General Manager and Vice President of Lithography Products Rezwan Lateef stated, “Ultratech has maintained its leadership position in the advanced packaging market segment by consistently delivering superior on-wafer results, cost-of-ownership and reliability performance for high-volume manufacturing (HVM) environments. Fan-out technologies continue to be the optimal solution for the highly-demanding mobile and wireless markets by offering improved performance in a reduced form factor. The AP300 is ideally suited to address this market with HVM -proven extendibility well below 2 microns. This substantial repeat order again confirms our technology leadership and the value proposition of Ultratech’s AP300 systems over full-field 1X scanners and reduction steppers. We are pleased to expand our photolithography-tool-of-record position at this valued customer. We look forward to working with them to meet their volume production and technology roadmaps.”

Ultratech’s AP300 Family of Lithography Steppers 

The AP300 family of lithography systems is built on Ultratech’s customizable Unity Platform, delivering superior overlay, resolution and side wall profile performance and enabling highly-automated and cost-effective manufacturing. These systems are particularly well suited for copper pillar, fan-out, through-silicon via (TSV) and silicon interposer applications. In addition, the platform has numerous application-specific product features to enable next-generation packaging techniques, such as Ultratech’s award winning dual-side alignment (DSA) system, utilized around the world in volume production.