Category Archives: LED Packaging and Testing

Veeco Instruments Inc. (NASDAQ: VECO), a developer of advanced thin film etch and deposition process equipment, and Ultratech, Inc. (NASDAQ: UTEK), a supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs, today announced that they have signed a definitive agreement for Veeco Instruments Inc. (“Veeco”) to acquire Ultratech, Inc. (“Ultratech”). The Boards of Directors of both Veeco and Ultratech have unanimously approved the transaction.

Ultratech shareholders will receive (i) $21.75 per share in cash and (ii) 0.2675 of a share of Veeco common stock for each Ultratech common share outstanding. Based on Veeco’s closing stock price on February 1, 2017, the transaction consideration is valued at approximately $28.64 per Ultratech share. The implied total transaction value is approximately $815 million and the implied enterprise value is approximately $550 million, net of Ultratech’s net cash balance as of December 31, 2016. Post transaction it is projected that Ultratech shareholders will own approximately 15 percent of the combined company.

Ultratech is a recognized leader of lithography products for Advanced Packaging applications and for LEDs and is a pioneer for laser spike anneal technology used for the production of semiconductor devices. In addition, the company offers wafer inspection solutions leveraging its proprietary coherent gradient sensing (CGS) technology which address a wide variety of semiconductor applications.

“The strategic combination will establish Veeco as a leading equipment supplier in the high growth Advanced Packaging industry. Ultratech’s leadership in lithography together with Veeco’s Precision Surface Processing (PSP) solutions form a strong technology portfolio to address the most critical Advanced Packaging applications. We believe our complementary end market exposure and customer relationships will create the ideal platform to accelerate growth,” said John R. Peeler, Veeco’s Chairman and Chief Executive Officer. “Ultratech is a great fit with our strategy to profitably grow our business and diversify our revenue. We expect this transaction to be immediately accretive to adjusted EBITDA and non-GAAP EPS.”

Ultratech Chairman and Chief Executive Officer, Arthur W. Zafiropoulo said, “Both companies have a strong heritage of developing innovative and cutting-edge technologies. The combined company will create a formidable team to execute against growth opportunities and deliver significant value to customers and shareholders.”

Veeco expects to realize approximately $15 million in annualized run rate synergies within 24 months after closing, to be achieved through increased efficiencies and leveraging the scale of the combined businesses. The combined company is expected to have an efficient balance sheet, benefiting from the deployment of excess cash.

The transaction is expected to close in the second calendar quarter of 2017, subject to approval by Ultratech shareholders, regulatory approvals in the U.S. and other customary closing conditions.

Leti, a research institute of CEA Tech, today announced it has developed a μLED fabrication process to create high-resolution arrays at 10-micron pitch. That pixelization and the 873 x 500 resolution that are enabled by the new process exceed technology.

Designed for micro-display applications such as augmented-reality or virtual-reality tools and wearable devices, the blue or green GaN/InGaN µLED arrays use Leti’s proprietary self-aligned technology. That process is key to achieving such a small pixel pitch. A combination of several damascene metallization steps used to create a common cathode is also expected to provide good thermal dissipation and prevent voltage drops within the micro-LED matrix. Electro-optical measurements showcase record efficiency and brightness exceeding requirements for device integration.

The results were presented Feb. 2 at SPIE Photonics West in San Francisco in a paper: “Processing and Characterization of High-Resolution GaN/InGaN LED Arrays at 10-Micron Pitch for Micro-Display Applications”.

“Leti’s self-aligned process allows the creation of high-resolution µLED matrices with a reduced pixel pitch of 10µm and paves the way towards even smaller pitches for next-generation devices,” said Ludovic Dupré, one of the paper’s authors. “In addition, the use of the damascene metallization process of the cathode, which also is a new process developed at Leti, is a breakthrough compared to previous demonstrations of micro-LED matrices. The common cathode indeed fills the whole volume between the micro-LEDs and provides metallic spreading of electrical current between them, as well as thermal dissipation. These results are promising for integrating a micro-LED matrix in micro-display devices by hybridization on CMOS active matrices, and first prototypes are currently being tested.”

The electronic data connections within and between microchips are increasingly becoming a bottleneck in the exponential growth of data traffic worldwide. Optical connections are the obvious successors but optical data transmission requires an adequate nanoscale light source, and this has been lacking. Scientists at Eindhoven University of Technology (TU/e) now have created a light source that has the right characteristics: a nano-LED that is 1000 times more efficient than its predecessors, and is capable of handling gigabits per second data speeds. They have published their findings in the online journal Nature Communications.

This is a scanning electron microscope picture of the new nano-LED, including some details. Credit: Eindhoven University of Technology

This is a scanning electron microscope picture of the new nano-LED, including some details. Credit: Eindhoven University of Technology

With electrical cables reaching their limits, optical connections like fiberglass are increasingly becoming the standard for data traffic. Over longer distances almost all data transmission is optical. Within computer systems and microchips, too, the growth of data traffic is exponential, but that traffic is still electronic, and this is increasingly becoming a bottleneck. Since these connections (‘interconnects’) account for the majority of the energy consumed by chips, many scientists around the world are working on enabling optical (photonic) interconnects. Crucial to this is the light source that converts the data into light signals which must be small enough to fit into the microscopic structures of microchips. At the same time, the output capacity and efficiency have to be good. Especially the efficiency is a challenge, as small light sources, powered by nano- or microwatts, have always performed very inefficiently to date.

Researchers at TU Eindhoven have now developed a light-emitting diode (LED) of some hundred nanometers with an integrated light channel (waveguide) to transport the light signal. This integrated nano-LED is a 1000 times more efficient than the best variants developed elsewhere. The Eindhoven-based researchers have especially made progress in the quality of the integrated coupling of the light source and the waveguide whereby much less light is lost and therefore far more light enters the waveguide. The efficiency of the new nano-LED currently lies between 0.01 and 1 percent, but the researchers expect to be well above that figure soon thanks to a new production method.

Another key characteristic of the new nano-LED is that it is integrated into a silicon substrate on a membrane of indium phosphide. Silicon is the basic material for microchips but is not suitable for light sources whereas indium phosphide is. Furthermore, tests reveal that the new element converts electrical signals rapidly into optical signals and can handle data speeds of several gigabits per second.

The researchers in Eindhoven believe that their nano-LED is a viable solution that will take the brake off the growth of data traffic on chips. However, they are cautious about the prospects. The development is not yet at the stage where it can be exploited by the industry and the production technology that is needed still has to get off the ground.

(Note: This is Part 2 of a two-part article; Part 1 is here)

By Denny McGuirk, president and CEO, SEMI

“Do not go where the path may lead, go instead where there is no path and leave a trail,” was how I started last week’s article.  In that article we looked back on 2016 and the incredible progress of the industry and how it continually cuts new trail and keeps moving at the speed of Moore’s Law.  In this week’s follow up, I would like to talk about where the industry is going and how SEMI is changing to keep up with it.  As not everyone is aware of all SEMI does, the following is a quick reminder on how SEMI works to represent the industry before looking ahead to 2017, specifically, and beyond.

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and I’d like to update you on what we’ve accomplished and what’s to come.

SEMI 2020: “The Only Time You Should Look Back is to See How Far You’ve Come”

SEMI organized its SEMI 2020 transformation into three basic pillars of the SEMI 2020 strategy.  First, “reenergizing the base,” where SEMI focuses on enriching delivered value for the present day needs of its traditionally engaged membership base.  Second, “building communities and collaboration,” where SEMI works to develop specific forums and groups to meet specific needs and focus on specific technologies and products.  Third, “evolving SEMI value propositions for 2020,” which is the work of changing and innovating SEMI products and services for the needs of the industry in the future.

To date, SEMI has made great progress on these three pillars, here are a few examples:

1. Reenergize Base

  • Grew membership to ~2,000 global SEMI member companies
  • Growth in SEMICON expositions:
    • 248,738 global exhibition visitors in 2016 (up 8 percent year-over-year)
    • 4,410 global exhibitors in 2016 (up 5 percent in m2 of exhibition space sold)
  • Realignment of SEMI with organization changes in Americas, China, Europe, and HQ

2. Build Communities and Collaboration

 

  • FlexTech joined SEMI as Strategic Association Partner: SEMI FLEX conferences and programs are now in America, Europe, Korea, SEA and Japan
  • MEMS and Sensors Industry Group (MSIG) joined SEMI as Strategic Association Partner
  • SEMI Special Interest Groups developed and globalized — Chemical and Gases Manufacturers Group (CGMG), SEMI integrated Packaging and Test (SiPAT), Semiconductor Components, Instruments & Subsystems (SCIS), etc. — integrating broad areas of the supply chain
  • Development of SEMI Collaborative Technology Platforms with initial activities in Interconnect, Heterogeneous Integration Roadmap (partnered with IEEE CPMT, EDS, & Photonics Societies), etc.
  • Introduction and co-sponsoring of special interest programs such as FUTURECAR and regional SMC conferences

 

3. Evolve SEMI Value Propositions for 2020

  • SEMI (automation) Standards adapted for Smart Manufacturing (Industry 4.0)
  • Improved channels: new SEMI Global Update, new website, social media (follow SEMI on LinkedIn and Twitter), infographics
  • New data products such as 200mm reportpackaging report, mobile version of fab database (FabView)
  • New programs such as SEMI European MEMS conference
  • SEMI Foundation widening scope on Workforce Development
  • Advocacy activities leveraging collective action on trade, industry funding, export control, taxation, and sustainable manufacturing (including regulation of safety, materials, and environmental impact).

 

SEMI 2020: “The Road to Success is Always Under Construction”

 

SEMI continues to conduct surveys, uses multiple means of gathering the voice of the customer, and constantly aligns with guidance from its various committees, regional advisory boards, and International Board of Directors.  Despite its name, SEMI 2020 is a journey and not a destination.  SEMI will continue to evolve, develop, and add critical communities, services, products, and industry advocacy as SEMI’s members evolve.

While many of the SEMI activities captured above will continue, the following provides a sampling of activities more specific to SEMI’s work in 2017.

1. Reenergize Base

  • Increase frequency and depth of SEMI outreach and grow SEMI’s global membership and engagement
  • Launch SEMICON Europa 2017 co-location with productronica in Munich to connect to electronics manufacturing supply chain while preserving SEMI’s core community within its own show
  • Launch new engagement and experiential components at SEMICON West and SEMICON Japan
  • Move HQ headquarters to more member-suited, collaborative, efficient, and smaller building in Milpitas

 

2. Build Communities and Collaboration

 

  • Develop four vertical application collaborative forums:  World of IoT, Smart Automobile, Smart Manufacturing, and Smart MedTech
  • Fully integrate FlexTech and MSIG into SEMI’s global infrastructure and develop regional communities and events for these distinct adjacent communities
  • Provide association services to the Fab Owners Association as a SEMI Strategic Association Partnership
  • Continue to develop and increase global participation in SEMI Special Interest Groups such as SCIS, CGMG, and SiPAT to provide the specific and current needs of SEMI’s members

 

3. Evolve SEMI Value Propositions for 2020

  • Provide greater inbound and outbound member visibility and member services for fast-developing China region
  • Further develop SEMI Standards for Smart Manufacturing including a focus on big data and security
  • Advocate for funding for SEMI member pre-competitive projects in all global regions
  • Develop and improve industry training and education capabilities in all regions
  • Raise visibility for SEMI in securing unrestricted trade for semiconductor manufacturing and extended supply chain

“Roads Were Made for Journeys, Not Destinations”  

This quotation, generally attributed to Confucius, ties the themes of the road of this year’s annual update to my personal journey.  As you may know, at the end of 2016, I announced my intention to retire and while I’ll remain until a successor is identified, this will be my last SEMI update.

My personal journey has definitely not been a straight line and that’s made it all the more interesting – and, I hope, made me a “more skillful driver.”  Instead of the road, the sky used to be my home (although, with trips to Asia and Europe, sometimes it still feels like I’m still there!), with many years flying with the United States Air Force.  After that, my path led to the world of non-profit leadership and eventually, prior to SEMI, leading IPC, the interconnect trade association.  As the industry has blurred the borders of PC boards and substrates and semiconductor packages, maybe it was natural that I would also shift from IPC to SEMI.

I’ve been at SEMI for over five years and have constantly been amazed by the speed of the industry, the exceptional professionals and their astounding innovations, and the tight global cooperation and support.  When I started, there was a flashpoint in the potential jump to pursue the 450mm wafer size.  I got to know our industry and our members very quickly!  But, I almost immediately learned, this is a unique industry where collaboration across the electronics manufacturing supply chain is critical, where global stakeholders are well connected, and where – with Moore’s Law as precedent – industry leaders are used to working together, no matter if collaborators or competitors, for the good of the industry.

I am grateful to call many in our industry friends.  It is with regret that I won’t be seeing these friends as frequently as before, certainly.  However, I am pleased to be leaving behind a sound a valued SEMI organization with the professionals and plans in place to carry SEMI 2020 forward and deliver more valued services, products, and above all connections for its members.  I am happy for my time at SEMI and am grateful to the SEMI staff, SEMI International Board of Directors, and SEMI Members for the opportunity to serve the amazing association

ROHM has recently announced the availability of an ultra-compact reflector-type LED optimized for consumer devices such as matrix light sources for gaming and wearables that demand increased miniaturization.

The MSL0402RGBU reflector-type 3-color LED leverages miniaturization technology cultivated over many years to achieve the smallest size in the industry (1.8mmx1.6mm). This enables high-density mounting and provides excellent color mixing characteristics, ensuring support for high resolution LED matrices.

In order to turn on matrix light sources it is necessary to take measures against ESD. Unlike conventional solutions that utilize a Zener diode, the MSL0402RGBU adopts an element with high ESD resistance. This makes it possible to superior ESD protection without a Zener diode and prevent light emission of unnecessary blocks in matrix circuits, resulting in clearer display.

In recent years, LEDs capable of representing the full color range are expected to be widely adopted in a variety of fields, including digital signage requiring improved design flexibility. At the same time, as applications become smaller and more sophisticated the need for greater expressiveness grows, along with increased miniaturization and long-term reliability. Up to now, ROHM offers ultra-compact molded type LEDs (PICOLED), high brightness reflector types (MSL series), and compact high brightness 3-color LEDs with excellent color mixing, but to meet market demands ROHM developed the industry’s smallest high brightness reflector-type RGB LEDs (MSL0402RGBU).

rohm led

By Denny McGuirk, SEMI president and CEO

“Do not go where the path may lead, go instead where there is no path and leave a trail.”  Attributed to Ralph Waldo Emerson, this could be the credo of our industry.  Moore’s Law has created $13 trillion of market value and we’ve been pioneering the way forward – since even before Gordon Moore made the famous “observation” that became Moore’s Law more than 50 years ago.  Our industry paved the road forward with advancements in design, materials, processing, equipment, and integration, traveling at the speed of exponential growth number in transistors per chip (doubling approximately every two years).

Today, globally, we’re shipping more than one trillion ICs per year!  Leading-edge chips boast more than 10 billion transistors at the advanced 10nm (gate length) technology node and are made with 3D FinFET architectures formed by 193nm wavelength immersion multi-patterning lithography.  It’s become a very challenging – and very expensive – road (a single lithography tool alone costs in the tens of millions of dollars).  The companies building the road ahead are bigger and fewer as massive bets now need to be placed on new fabs costing more than $5 billion and even $10 billion and where a new single chip design alone costs more than $150 million to bring into production.

What follows, in Part 1 of this two-part article, is a quick look back at the industry in 2016 and the road ahead in 2017 followed by what SEMI achieved in 2016 and where SEMI’s road will lead in 2017 to keep pace our industry charging forward where there is no path. Part 2 (next week’s Global Update) will focus on SEMI 2020 initiatives.

A look back at 2016: “Straight roads do not make skillful drivers”

2016 was definitely not a straight road; truly it was a wild ride – so, SEMI members have become extremely skilled drivers. The semiconductor manufacturing industry had a slow first half with pessimism building throughout the first quarter, but by April semiconductors bottomed and NAND investment and a slate of new China projects drove a strong second half.  For semiconductor equipment, SEMI’s statistics indicate global sales in 2015 were $36.5 billion and 2016 came in at $39.7 billion, ultimately ending up about 9 percent.  For reference semiconductor materials in 2015 was $24.0 billion and 2016 came in at $24.6 billion, up nearly 2.6 percent year-over year (YoY).

But, it turns out, that’s not half the story.  2016 was full of surprises.  At the geopolitical level, Brexit, an impeachment in South Korea, and a Trump win were wholly unanticipated and leave a lot of questions as to how that road ahead might look.  In technology, the Galaxy Note 7 mobile phone became an airline hazard announcement and stalwarts like Yahoo! faded into the background (now part of Verizon).  In part due to challenges of the road ahead (and because the cost of capital remained low) M&A fever continued in semiconductors with more than $100B in deals announced in 2016.

It was an astonishing year for combinations with huge deal announcements such as Qualcomm buying NXP for $47 billion and SoftBank buying ARM for $32 billion.  Meanwhile, mergers in the equipment and materials space continued, to name a few notables ASML’s acquisition of Hermes Microvision, DuPont and Dow announcing the intent to merge (announced December 2015, but still in the works), and Lam Research and KLA-Tencor ultimately calling off their deal due to complications of regulatory pushback.  The extended supply chain was mixing things up, too, with acquisitions like the announcement by Siemens to acquire Mentor Graphics.  It has been very active, overall.  This was the second year of semiconductor M&A deals valued at more than $100 billion, a signal that size and scale is critical to build the road ahead.

A look ahead: “Difficult roads often lead to beautiful destinations”

With all the talk about roads, it’s no surprise that the automotive segment is gathering momentum as a strong growth driver for the electronics supply chain.  Not only is there increasing electronics content in cars for comfort and infotainment, but also for assisted and autonomous driving and electric vehicles which are ushering in a new era of electronics consumption.

Along with automotive, IoT (Internet of Things), 5G, AR/VR (Augmented Reality and Virtual Reality), and AI (Artificial Intelligence) round out a set of powerful IC and electronics applications drivers (see figure).  Per an IHS Study, 5G alone may enable as much as $12.3 trillion in goods and services in 2035. Gartner’s most recent forecast is cause for optimism further down the electronics manufacturing supply chain.  Gartner see IC revenue growing from 2016’s $339.7 billion to 2017’s $364.1 billion up 7.2 percent and growing further in 2018 at $377.9 billion up 3.8 percent.  For semiconductor equipment, SEMI’s forecast indicates 2015 was $36.5 billion, 2016 will come in at $39.7 billion, and 2017 is projected to be $43.4 billion, pointing to both 2016 and 2017 experiencing approximately 9 percent YoY growth.

In 2017, China investment is projected to continue as a major driver, likely consuming over 16 percent of the total global equipment investment (second only to South Korea).  SEMI is currently tracking 20 new fab projects.  Investments come from both multinationals and local Chinese ventures.  A sign of the rise of China is China’s upward production share trend of its own IC consumption market (IC Insights): 8 percent in 2009, 13 percent in 2015, and 21 percent in 2020. Further down in the electronics supply chain, fab equipment related spending in China will rise to more than $10 billion per year by 2018 and remain at that level or above for subsequent years.

NAND will continue to be a major driver with 3D NAND investment leading the way.  Silicon in Package (SiP) and heterogeneous integration will increasingly be solutions to augment traditional feature scaling to fit more transistors into less space at lower costs.  Materials innovations will be relied upon to solve front-end and packaging challenges while standard materials will be the focus of increased efficiencies and cost reduction. 200mm fab capacity will grow and stimulate new 200mm investment with upside driven by power devices and MEMS segments.  Investment in foundry MEMS will grow by an estimated 285 percent (2015 to 2017).

“There are far better things ahead than any we leave behind”

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

As a reminder, here are SEMI’s mission, vision, and 2020 strategic focus areas.

  • Mission — our focus for the next five years
    • SEMI provides industry stewardship and engages our members to advance the interests of the global electronics manufacturing supply chain.
  • Vision — what we stand for
    • SEMI promotes the development of the global electronics manufacturing supply chain and positively influences the growth and prosperity of its members.  SEMI advances the mutual business interests of its membership and promotes a free and open global marketplace.
  • Members’ Growth — 2020 strategic focus
    • SEMI enables member growth opportunities by evolving SEMI communities and building new communities across the global electronics manufacturing supply chain via cooperation, partnerships, and integration.
  • Members’ Prosperity — 2020 strategic focus
    • SEMI enables members to prosper by building extended supply chain collaboration forums providing opportunities to increase value while optimizing the supply chain for SEMI members.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and in next week’s e-newsletter Global Update, I’d like to update you on what we’ve accomplished and what’s to come.

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2017.

Driving the industry forward with materials engineering

Raja_Prabu_fullPrabu Raja, vice president and general manager, Patterning and Packaging Group, Applied Materials, Inc.

Over the past few years, the industry has made remarkable progress in bringing 3D chip architectures to volume production. In 2017, we will continue to see exciting technology innovations for scaling 3D NAND devices to 64 layers, ramping the 10nm process node into volume manufacturing and increasing the adoption of highly integrated chip packages.

With the transition to the 3D and sub-10nm era, the semiconductor world is changing from lithography-based scaling to materials-enabled scaling. This shift requires multiple new materials and capabilities in selective processing.

The magnitude and pace of these changes are truly disruptive. For example, with 3D NAND materials innovations for hard mask deposition and hard mask etch are essential. The challenge is to build high aspect ratio vertical structures with uniform profiles from the top to the bottom as more layers are added. Selective removal processes can remove targeted materials in vertical and horizontal structures without damage or residue throughout the stack.

For logic/foundry, the introduction of the 10nm process node in volume manufacturing brings significant growth in the number of patterning steps. This trend will increase even more for 7nm and below designs. Patterning these advanced nodes requires innovative etch capabilities to deliver feature-scale uniformity with low line edge roughness. Selective processes and alternative manufacturing schemes will also be needed as the industry seeks solutions for layer-to-layer vertical alignment. We expect this to result in a two-fold increase in the number of materials to be deposited and removed.

Finally, the industry will continue to adopt new and improved packaging schemes for enabling increased device performance, lower power consumption and to deliver desired form factors. In 2016, we saw the volume adoption of Fan-Out packaging in mobile devices and this trend is expected to grow further in 2017. The high performance computing segment will pursue 2.5D interposer and/or 3D TSV packaging schemes for higher memory bandwidth, lower latency and better power efficiency.

Applied Materials is focused on delivering game-changing selective process technologies and materials innovations to help solve the industry’s toughest challenges.

Following economic leaders meeting in Switzerland for the World Economic Forum, electronics manufacturing executives will attend Europe’s SEMI Industry Strategy Symposium (ISS Europe) in Munich, Germany on 5-7 March. Hosted by SEMI Europe, the Symposium brings together leading analysts, researchers, economists, and technologists for critical insights on the forces shaping the electronics manufacturing supply chain. ISS Europe 2017 is the three-day flagship business event that discusses how to cope with the rapid changes and growing challenges of the digital revolution.

“ISS Europe is the leading European strategic platform where industry thought leaders across the electronics manufacturing value chain share the latest analysis and outlooks.  The conference covers global industry trends and challenges and opportunities from innovation, materials, design, and manufacturing – with a focus on end-applications in automotive, health care and smart manufacturing,” said Laith Altimime, president, SEMI Europe.

Twenty industry leaders will present insights into the current market developments in automotive, smart manufacturing, and health, including:

  • TSMC Europe: Maria Marced, president, High Performance Applications to Drive Innovation and Collaboration
  • Mentor Graphics: Wally Rhines, CEO, Semiconductor Consolidation versus Specialization: What’s the Driving Force for Mergers?
  • AUDI AG: Berthold Hellenthal, Robust Design / Komponentenerprobung Elektronik, Cross-Industry Collaboration Networks Accelerate Innovations
  • Dresden University Hospital: Christopher Piorkowski, professor at the Heart Center, Digital Health in Cardiovascular Medicine: Patients, Sensors, and Clinical Care
  • Bosch: Birte Lübbert, senior VP, Smart Manufacturing by Bosch in Reutlingen Plant 2
  • Imec: Ann Stegen, executive VP, Transformation into a 7nm Logic Node Solution with Fundamental Advantages

Join Europe’s strategic thinkers and business drivers at ISS Europe 2017 in Munich (Germany) from March 5-7, 2017!  Register here. For more information visit: www.semi.org/eu/iss-europe-2017

At next week’s SPIE Photonics West in San Francisco, imec, a research and innovation hub in nano-electronics and digital technologies, will introduce a new image sensor with integrated color (Red Green Blue, RGB) and narrow-band near-infrared (NIR) filters. This breakthrough optical filter integration platform will enable many different application fields from medical, industrial, security surveillance, automotive to virtual and augmented reality, where near-infrared signals need to be extracted and overlaid on top of color images.

Imec’s RGB-NIR multispectral platform demonstrates for the very first time the possibility to integrate together standard RGB color filters, NIR-cut filter, NIR narrow band-pass filters and on-chip microlenses technology, down to small pixels as small as 5µm today. The NIR band-pass filter and design pattern implementation can be tuned to match requirements of a specific application case, e.g. the wavelength of a particular laser or LED light.

“An affordable, high resolution and high speed solution for integrating true RGB color combined with narrow-band NIR detection was essential to develop for future applications that need to detect or track near infra-red signals that should not be visible to human eyes,” explains Andy Lambrechts, program manager for imec’s integrated imaging activities. “This capability to integrate a color view with one or several near-infrared narrow bands will be a key enabler for next-generation 3D, virtual reality (VR) & augmented reality (AR) imaging platforms. As well as in machine vision, medical, automotive and security surveillance applications.”

Leveraging imec’s background in CMOS scaling, its semiconductor fab, equipment and process technology, imec designs and manufactures interference based optical filters at wafer level, deposited and patterned directly on top of the CMOS image sensor pixels. Imec’s unique infrastructure provides very integrated, clean (class 1 – particle free) and high yield optical filter integration with strong potential for scalability in high-volume.

The first image sensor and camera prototypes will be demonstrated at SPIE Photonics West in San-Francisco on booth 4333 (North Hall of Moscone center). They are already available for early sampling and evaluation by strategic partners.

image005

Amtech Systems, Inc. (NASDAQ:  ASYS), a global supplier of production equipment and related supplies for the solar, semiconductor, and LED markets, today announced fiscal year 2017 year-to-date order bookings through January 20, 2017 are approximately $84 million.  This includes solar orders of $60 million.   The solar bookings include major wins for the Company’s high productivity PECVD platform with top tier customers in ChinaMalaysia, and Taiwan and an n-type bi-facial turnkey order from a new customer in China.  The majority of the orders are expected to ship within the next six to nine months.

Fokko Pentinga, CEO and President of Amtech, commented, “These competitive wins are a direct result of our ongoing investment program and a clear testament to the Company’s ability to meet the market’s expectations as they selectively invest in next-generation technology solutions.  Our newly introduced PECVD platform is recognized as a compelling solution to increase the efficiency in solar cell manufacturing while lowering the cost of ownership.  The continuing development of our advanced n-type technology led to this turnkey order from a customer who will use the technology for Bi-Facial glass-glass module design in the first of a multi-phase 1GW cell and module expansion.  We believe that Amtech has the right mix of n-type and PERC cell technologies for this expanding global solar market where success is driven by the best next-gen technology solutions.  Recently, we have experienced increased customer interest in our n-type technology.  As the solar market looks to the future, we believe n-type cell technology has the best roadmap to higher efficiency.”