Category Archives: Materials and Equipment

November 13, 2012 – Alchimer SA says it is seeking partnerships with various semiconductor equipment and materials companies as it welcomes two top execs. Bruno Morel is the company’s CEO since May of this year, and product development director Fr

November 13, 2012 – Soitec says it has more than doubled production of bonded silicon-on-sapphire (BSoS) substrates to meet increased demand from partner Peregrine Semiconductor, which has surged its own production capacity for RF switches used in cellular handsets, including the newest iPhone 5.

Soitec’s direct wafer-bonding technologies have helped produce the BSOS substrate used to make Peregrine’s UltraCMOS process technology, used as the antenna switch to automatically switch antenna connections in cell and smartphones. Peregrine has increased its own peak production to more than 2 million units as it supports design wins in advanced 4G smartphones and other wireless communications applications.

“We are experiencing powerful traction in the market with the latest STeP5 UltraCMOS RF switches, and we believe these products enable the high level of RF performance that is critical for new, 4G LTE smartphones and wireless devices,” stated Mark Miscione, VP of RF technology solutions for Peregrine Semiconductor. “Soitec’s expertise has been important in the development of a substrate technology that offers the reliability, yield, and process scalability of equivalent bulk CMOS technologies. We are pleased with the continued commitment and support we receive.”

by Paul Feeney, Axus Technology

The International Conference on Planarization/CMP Technology (ICPT) was held Oct 15-17 in Grenoble in southern France. This international event is the world’s largest conference covering chemical mechanical planarization (CMP) and related topics, over a 2.5 day period. Over time, the CMP users groups from around the world that come together to form this event are acting increasingly as one body, and the quality of the information has risen.

ICPT oral and poster presentations can be grouped into a handful of major themes:

  • Integration of new device structures, and the CMP processes and slurries needed to support them;
  • Advances in equipment and in endpoint and control methods;
  • Advanced copper interconnects, and the extension of this to 3D and MEMS technologies;
  • Consumables, with a keen focus on mechanistic understanding; and
  • Alternative planarization methods and the application of CMP to new materials.

CMP and new device structures

Leading off the discussion of the application of CMP for new devices was a plenary talk by Daniel-Camille Bensahel from CEA-Leti. He stressed the parallel paths that exist today for 14nm technology and beyond between fully depleted silicon-on-insulator (FD-SOI) and multi-gate or FinFET devices. As technology goes beyond these two architectures, the future will lie in making the transition from silicon channels to some combination of germanium, nanowires, and graphene. All of this bolsters the effect we have already seen putting more focus on the use of planarization in creating devices rather than solely in making interconnects.

Invited talks from IMEC and GlobalFoundries nicely covered the complexity of CMP steps now being employed to fabricate leading-edge devices. In years past, shallow trench isolation (STI) CMP was the only set of CMP steps in the front-end-of-line (FEOL) process flow. Now, many new CMP applications are being added and each calls for multiple process steps. The special dielectric fill for FinFET’s creates the need for steps very similar to those used for STI, but drives the need for stopping on the extremely small nitride features that cover the fins. The ILD 0 or pre-metal dielectric or poly-open-polish (POP) CMP that exposes the tops of the dummy silicon for metal gates also has similarities to these two. The metal gate CMP that follows was discussed as being implemented with either aluminum (Al) or tungsten (W) as the bulk material. There was also coverage of techniques similar to those of replacement gates for formation of replacement channel materials made from germanium (Ge), indium phosphide (InP), or indium gallium arsenide (InGaAs).

Papers that delved into a portion of these new CMP applications pointed out some of the unique challenges. Catherine Euvrard from CEA-Leti pointed out that POP CMP must not only retain tight control over remaining film thickness, but must do so while simultaneous removing nitride and oxide materials deposited at slightly different heights due to the non-planarity remaining after STI. Another difficulty is that the pattern removal rates of nitride and oxide do not follow what might be expected from blanket rates on each of the films when polished separately. Patrick Ong from IMEC went into the development of a 2-step process for replacement Ge channels. The epitaxial overgrowth of Ge is polished back to oxide and then buffed to produce roughness in the range of 2Å. Ulrich Kuenzelmann from TU Dresden showed results from their implementation of Al CMP. These papers were all geared towards advanced logic. Hynix also contributed with talks on new ceria particles for lower defectivity in STI and CMP for buried gates or wordlines for advanced memory. For buried wordline CMP, the bulk metal includes W and must stop on a nitride layer.

CMP equipment, materials, and methods

On the second theme of equipment, a variety of new hardware and control options were highlighted. Len Borucki from Araca pointed out the slurry flow reduction or oxide removal rate gain with a “slurry injector” apparatus. A second talk from Araca described similarities and differences seen in doing CMP of 300mm vs. 450mm wafers. Polishing of 450mm wafers can generate temperatures a few &degC higher, which is likely to have a noticeable effect on temperature sensitive steps such as Cu CMP. Pusan National University and G&P Technology showed that they were able to achieve a radial non-uniformity (NU) of 3% at 2mm edge exclusion with their wafer carrier that contains an “edge profile ring” between the wafer and the retaining ring.

A number of papers described ideas for metrology. Applied Materials and a few customers covered the application of white light illumination for endpoint control across a range of FEOL CMP applications. Improved results were presented for STI thickness, POP thickness with closed loop control of both profile and polishing time, as well as establishment of endpoint control of a process for replacement SiGe channels. Silvio Del Monaco from STMicroelectronics displayed a technique for in-situ measurement of pad groove depth that could be used in characterizing the pad cutting rate of conditioner disks. Florent Dettoni from CEA-Leti described a technique they developed to stitch together interferometric scans to create accurate maps of topography both for whole dies as well as across wafers. Those results were correlated to profilometer scan data, but measurements can be done much quicker. Chandar Palamadai laid out the process that KLA-Tencor has created for quantification of scratching through analysis of blanket wafer haze maps.

CMP and Cu interconnects

The next major theme regarding copper (Cu) included advanced interconnects both for wafers as well as quite a bit on 3D interconnects. Olivier Robin from STMicroelectronics taught us how sheet resistance control mean and variation can be improved by switching to a barrier process with higher selectivity between the dual hardmask and the dense ultralow-k material just below them. Jie Lin from Fujimi described work to develop a slurry for Cu that can get good planarization efficiency despite being used with a pad of moderate hardness. Contributors from Fudan University and from DuPont covered work studying the corrosion and removal rate behavior of the cobalt and molybdenum materials being investigated as part of new barrier material stacks.

ICPT has given increased attention to 3D interconnects and the formation of through-silicon-vias (TSVs) over the last few years. This year included an overview by Viorel Balan from CEA-Leti of some of the issues that need to be addressed in order to do Cu-to-Cu direct bonding. A key to success was identifying and improving topography across several length scales. Both he and Benjamin Steible from ISIT gave evidence that new generations of abrasive-free slurries provide a nice advantage in controlling the dishing of especially larger structures. Jinhai Xu talked about his work at SMIC demonstrating that rings of corrosion at the edges of vias can be seen as a recessed area when there is still about a micron of bulk copper left on the wafer. Rob Rhoades showed two different processes for the TSV nail expose process depending on whether it is an active wafer or an interposer. Catharina Rudolph from Fraunhofer presented a story showing that the combination of high-density TSVs and a higher-temperature anneal actually leads to enough stress that the wafer can explode.

CMP consumables

Over time, consumables for CMP have become more specialized to fit the needs of individual process steps for each application. Consumable topics have always been a popular topic at ICPT and this year was no exception. In the area of pad conditioning, there were two topics that received the most attention. One was applying conditioning techniques to the double-sided polishers used in wafer polishing. Jorn Kanzow from Peter Wolters reported that conditioning provided edge control for the double-sided polishing that is now necessary for achieving flatness for 300mm wafers. The second was the study of pad debris that is generated during pad conditioning and how it leads to an increase in scratch defects. Scratching was shown to be best when doing excitu conditioning or when vacuuming the debris off the pad. A relatively recent style of conditioner uses diamond coating over an engineered surface. 3M presented a summary of their efforts to do that utilizing some of their micro-replication methods.

Keiichi Kimura from Kyushu Institute of Technology presented some very exciting concepts surrounding research done to identify individual removal events during CMP. Through the use of evanescent light, where laser light is bounced off a prism surface, individual slurry particles that come in contact with the prism are illuminated. Their findings put forth the idea that pad asperities and the fluid around them cause adhered particles to be pulled off the polished surface. This happens at velocities much slower than what the pad achieves across the wafer — which rebukes a standard theory that removal is from 3-body contact of a pad asperity pushing a slurry particle into the film being polished. Greg Gaudet from Cabot Microelectronics provided an argument for removal rate with softer pads being driven more by the number of contact points between the pad and wafer rather than the total area of contact. This data seems to back up the concepts presented by Kimura.

For slurries, Intel together with Bradley University and MIT had a few talks outlining the outcome of fundamental studies. Alex Tregub made the point that the characterization of particle size is often overly simplified into a mono-modal distribution. Those tests also often use highly diluted slurry that may not be behaving as it would in its normal state. Mansour Moinpour went over results showing how desorption of additives from particle surfaces can be characterized. Joy Johnson from MIT reviewed a collection of literature surrounding particle agglomeration and added some work showing the role additives can play in agglomerate formation. Along somewhat similar lines, Pall got together with Lewis University to characterize the interaction that slurry particles have with the fibers inside of slurry filters, which may lead someday to the use of novel fibers.

New and improved CMP materials, processes

The remaining major theme is the extension of CMP to new materials and other types of removal processes besides CMP that are also being improved upon. Talks covered new materials such as carbon nanotubes with titanium (Ti) for vias, potassium dihydrogen phosphate (KDP) crystals for optics, GST for phase change memory, SiC for hardmask removal, and Ti and Ti02 for biomedical applications. It turns out that lowering surface roughness of Ti02 improves the biocompatibility of surgically implanted materials.

Though there does not appear to be any technology that is threatening the continued adoption of CMP for many applications, there are also other types of processes that have their place. Hyuk-Min Kim from Hanyang University taught us how lapping results could be improved by switching to a fixed abrasive system. Chuljin Park from KIIT showed a multistep process where diamond mechanical polishing was useful followed by CMP for sapphire substrates. Paul Feeney from Axus Technology demonstrated that improvements in grinding technology can make the CMP of Si after grinding much easier and produce better results. Grinding of Si can be done two-orders-of-magnitude faster than CMP and with within wafer non-uniformity unheard of in CMP. Adding CMP afterwards then produces the best possible surface.

Overall, the technical content of this event was very good. Clearly a lot of energy is being applied around the world to make advances on a wide variety of planarization applications. A high bar has been set for next year’s ICPT in Taiwan!


Paul Feeney ([email protected]) is director of process technology at polishing and thinning company Axus Technology. He started his involvement in CMP at IBM in 1989, holding both process and equipment responsibilities there, including doing pioneering module process and integration work on copper and barrier CMP for the world’s first commercial copper chips. He spent many years at Cabot Microelectronics; as a CMP Fellow there, he led development of a wide range of materials for leading-edge CMP applications. He is also a co-leader for planarization topics for the ITRS.

November 7, 2012 – Deca Technologies has introduced a new chip-scale packaging (CSP) product line offering a rugged, fully molded packaging technology in ball-grid array (BGA) style formats that eliminate the need for laminate substrates.

A year ago Deca launched its inaugural wafer-level chip-scale packaging (WLCSP) technology "derivatives," developed with help from solar tech firm SunPower, promising a combination of speed, low cost, and flexibility. Much of the technology behind its work, though, was customized and deeply proprietary, with few details made available.

Nonetheless, industry response to the WLCSP offering "has been very strong," with multiple customers now in production and many more undergoing qualification, claims Tim Olson, Deca president/CEO.

The company’s new M-Series CSP line, geared for applications where the WLCSP option isn’t a good fit, features an "Adaptive Patterning" design/patterning process that allows features such as vias and redistribution traces to dynamically align to shifting die within an embedded device structure — creating a unique design for each device during the manufacturing process. The company says the methodology integrates a fixed design pattern with an adaptive region to resemble classic wirebond, but realized through a wafer-level build-up flow. With an additional "dimensional inspection" step and processing through an automated design software, a unique design is created for every device within a molded panel, removing the barrier of a cost-effective embedded flow, the company claims.

The M-Series CSP is now sampling "to a limited set of customers," with broader availability planned for 2013, the company says.

Dr. Phil Garrou, SST‘s resident expert and blogger about all things advanced packaging, is digging into the details of Deca’s new CSP and "adaptive patterning" offering — look to his Insights from the Leading Edge (IFTLE) blog for an analysis in the coming days.

October 22, 2012 – In case anyone needed a reminder or a wake-up, new data from SEMI reiterates chip tool sales are slumping badly in the latter part of this year.

Worldwide orders reported by North America-based manufacturers of semiconductor equipment totaled $952.0M in September, -15% from August’s revised $1.12B level, but 2.8% higher than the same month a year ago. Billings similarly were down from August (-12% to $1.18B), but they’re also down -10.4% from a year ago.

The first half of the year was pretty good for semiconductor equipment demand, raising hopes of at least some minor full-year growth after a disappointing 2011 (particularly in the fall). It’s increasingly clear now, though, that the second half of 2012 is suffering from another investment slowdown. "In the current cycle, device makers are grappling with lower average selling prices and uncertainty with the broader economy, which clearly has a near-term impact on equipment purchases," noted Denny McGuirk, president and CEO of SEMI.

In the four months since peaking in May, equipment bookings have declined -40%, and are now right around where they were in the trough of Sept-Oct 2011, which was a two-year low point. Sales aren’t off by as much (-23%) but the dollar amount is also at the 3Q11 trough level. The B:B ratio has been plummeting since April when it was well above the parity level (1.12); it’s now at 0.81, meaning $81 worth of product orders are coming in for every $100 of equipment sold.

For the nine months through September, equipment orders tracked by SEMI are down -7% from the same period in 2011 to $11.9B. Sales are down -15% at $12.3B. SEMI’s official forecast, originally issued at SEMICON West, predicts an overall -2.6% decline for the year in global frontend + backend equipment.

Industry watchers and chipmakers were expecting a soft 3Q12, but holding out hopes for 4Q12 and especially 2013. Intel didn’t help with either timeframe in its 3Q12 results, when it announced lower overall 2012 capex and utilization rates slashed to 50% — and refused to forecast into 2013 spending due to visibility concerns, just two months away.

Keeping it Cool

Back in 2008 we addressed 3D cooling activities [see PFTLE 43, "Keeping it cool in the dog days of summer"] looking a the activities at IBM Zurich, GaTech, and CALCE (U Md) as the groups especially active in this area.

Since then we have looked further at the liquid cooling activities of Bakir at GaTech [see IFTLE 83, "Orange County IEEE CPMT 3DIC Workshop"] and Brunschwiler at IBM Zurich [see "IBM to use water cooling for future 3D IC processors"] and the fact that one of the drivers for 2.5D is that it offers better thermal performance that current 3D stack solutions [ see IFTLE 97, "DATE in Dresden, Synopsys 3D EDA solution"]. For the most part, though, IFTLE has taken the position that thermal would not be the roadblock for 3DIC and that initial products would be ones where the thermal solution was not driving the technology.

Now that we are quickly approaching full commercial production of a number of products, it’s probably a good time to focus more on proposed thermal solutions for the future. To update yourself on where things stand, I suggest Herman Oprins’ article "Modeling and experimental characterization of hot spot dissipation in 3D stacks." He concludes that thermal management issues in these 3D stacks are one of the main challenges for 3D integration since the use of polymer adhesives with low thermal conductivity, the presence of interconnection structures, back end of line (BEOL), redistribution layers (RDL), and through-Si vias (TSVs) increases the complexity of the conductive heat transfer paths in a 3D stack.

Oprins concludes that hot spot power dissipation results in significantly higher temperatures in 3D stacked chips compared to the same power dissipation in single 2D chips. This temperature increase is mainly due to the reduced thermal spreading in the thinned dies on the one hand, and to the use of adhesives with low thermal conductivity for the vertical integration of the chips on the other hand. To limit the temperature increase in 3D-ICs, "too thin chips should be avoided" because the thinner the silicon substrate, the higher the thermal spreading resistance is in the case of hot spots. Simulations show that a minimum die thickness of 50

October 16, 2012 – SEMI has extended the call for papers for the 2013 China Semiconductor Technology International Conference (CSTIC) to October 22. Paper abstract guidelines are listed here, and SEMI says there remain "just a few openings" for proposed talks on semiconductor technology and manufacturing. Original and overview papers from integrated device manufacturers (IDMs), equipment/materials suppliers, and academic and research institutes are welcomed.

The CSTIC (March 17-18 in Shanghai), held in conjunction with SEMICON China (March 19-21), is the largest annual semiconductor technology conference for the industry in China. (Last year’s CSTIC featured 100 technical lectures, 300 speakers, and nearly 1000 attendees.) Confirmed plenary speakers for CSTIC 2013 are RPI prof and Nobel Laureate Ivar Giaever, and "father of SOI technology" Ghavam Shahidi, IBM Fellow and director of Silicon Technology at IBM.

The CSTIC program offers 10 symposia covering all aspects of semiconductor technology and manufacturing, including a just-announced new track covering "circuit design, system integration and applications." Other tracks include: device engineering and technology; lithography and patterning; dry & wet etch and cleaning; thin-film technology; CMP, wafer substrate polishing and post-polish cleaning; materials and process integration for device and interconnection; packaging and assembly; metrology, reliability and testing; emerging semiconductor technologies; and advances in MEMS and sensor technologies.

SEMI and ECS are the organizers along with China’s High-Tech Expert Committee (CHTEC) with co-sponsors IEEE, MRS, and the China Electronics Materials Industry Association.

October 12, 2012 – The advent of leading-edge semiconductor packaging technologies dictates efficient use of capital, and only the top-tier companies will have the financial wherewithal to develop required expertise and capacity. That means consolidation needs to happen in the semiconductor assembly and test services (SATS), according to a recent report from Gartner.

IDMs started moving packaging plants into the Asia-Pacific region in the 1980s, and by the early 1990s outsourced packaging had bloomed, and gained speed with the emergence of the fabless/foundry model, explains Gartner analyst Jim Walker in a recent report ("Competitive Pressures Will Bring Consolidation to the SATS Market"). Over the past 10 years outsourcing has accelerated with proliferation of customized, application-specific packaging demand, and today the market has quintupled since 1997 to $25B, with nearly all the 130 SATS companies still in the greater Asia-Pacific region (including Japan).

Right now the SATS market is on a 8% CAGR trajectory from 2011-2016, but growth on an annual basis is slowing, Walker notes. The top five SATS companies currently comprise 50% of the market and will expand to nearly 60% by 2012 — that’s five out of more than 130 suppliers. The top 20 SATS companies comprise more than three-fourths of the market.

Top 10 SATS companies in 2011, sales as a percentage of total market. (Source: Gartner)

Consolidation is not only inevitable, it is sorely needed. Several factors will push these firms together:

  • Slower growth, due to market saturation. Crossing the 50% outsourcing saturation mark in 2011 implies that the total market available for packaging services from IDM, OEM, and fabless companies is shrinking, and will be more tied to industry unit growth and new business sectors.
  • Increasing competition at leading-edge technology nodes, and in niche markets. The process node migration continues (28nm, 20nm, 14nm, eventually 10nm and below), as does increased demand for mobile devices, which together necessitate more packaging technology and capacity for capabilities including WLP, flip-chip, through-silicon via (TSV), and redistribution layers. Those who can stomach the capital requirements for these, will stay on top — and those who cannot will find themselves on the losing end.

    Similarly, as the outsourcing sector aligns to industry unit growth, SATS companies focusing on specific markets (e.g. memory) are more exposed to narrow, commodity-like and price-sensitive market forces. Such companies need to expand on their own into other markets, or consolidate with bigger and broader SATS companies. See recent expansion/divestment news from PTI, Power ASE, SPIL, and ChipMOS. (In fact this trend could spell the end of memory-specific packaging and test services market, Walker notes.)

  • Continued efforts by IDMs and OEMs to outsource backend processes. Technology investments and capacity additions are a hard sell when utilization rates are low (or aren’t at full strength). The proliferation of packaging options (Gartner cites >2000 unique packages) is forcing OEMs/IDMs to rethink sharing capital investments, deciding to leave it to the outsourced "experts."
  • Increasing importance of a China market strategy. Most top 10 SATS companies have at least one Chinese manufacturing facility, initially taking advantage of cost savings and incentives. But now, recognizing China’s swelling appetite for electronics components and systems, SATS firms want and need those domestic capabilities to satisfy demand. ASE, for example, has led the way in defining a strategy that straddles operations in both Taiwan and China, including $1.2B to build up operations in Shanghai and Pudong.

Continued emergence and development of wafer-based packaging process technologies requires both wafer fabrication and semiconductor packaging manufacturing equipment, processes, and expertise — meaning foundries can do some of them too, such as wafer bumping and underbump metallization. Similarly, 3D package stacking, embedded components, and system-in-package (SiP) devices require both processes and technologies for packaging and printed circuit board assembly — and technologies such as system-on-package will further blur these roles. SATS firms should expect to see increased competition from the foundry market, Walker notes. They also need to expand their services to include test capabilities, package design, and module offerings. And perhaps most importantly, they need to get virtual or vertical — develop an acquisition plan or partnerships/joint ventures with foundries, EMS/ODM firms, and/or materials and equipment companies, he advises.