Category Archives: Metrology

April 23, 2012 – GLOBE NEWSWIRE — Hexagon Metrology released the Optiv Classic 321GL tp benchtop vision-measuring metrology system for the North American market. It suits electronics and precision parts inspection, including micro-holes, fiber optics, filters, and more.

It features 6.5x motorized CNC zoom optics for 0.002mm accuracy. Touch probes can be added for multi-sensor measurement. The Classic 321GL tp is the smallest model in the Optiv product line. It offers calibrated lighting, a high-resolution color CCD camera, a laser locator and an 8-segment LED dual angle ring light. The LED ring and software controls for red/green/blue sensitivity enable better edge detection, including for colored parts where edges can be difficult to capture.

The Classic 321GL tp includes PC-DMIS Vision image processing software and full online 3D CAD capabilities for live programming of the machine to compare measured values to nominals. The software’s MultiCapture feature finds all 2D characteristics in the field of view, measures them simultaneously, and moves the camera for the next cluster, optimizing the path of stage movement. Inspection speeds can increase by 50% or more.

The tool is made on a granite base with mechanical bearings.

Hexagon Metrology is part of the Hexagon AB Group. Hexagon is a leading global provider of design, measurement and visualization technologies. Learn more at www.hexagon.com.

Visit the Semiconductors Channel of Solid State Technology!

April 23, 2012 — Strong lithography spending, as well as several acquisitions and divestures in the space, brought changes to the critical subsystems of semiconductor/related markets sector, says VLSIresearch.  

2011 was a record year for critical semiconductor manufacturing subsystem suppliers, with 9.3% revenue growth to $7.88 billion for the industry segment. Semiconductor manufacturing at 32nm and smaller nodes drove capital expenditures, stimulating demand for high-value critical subsystems. Weakening demand was seen from the flat panel display (FPD), data storage, light-emitting diode (LED) and photovoltaic industries. Another record year is on the horizon, VLSIresearch estimates, with 2012 set to beat the $8 billion mark for the first time.

 

Table. Top 10 suppliers of critical subsystems 2011. Copyright © 2012 VLSI Research Inc. All rights reserved.

Company

Rank 2010

Rank 2011

Critical subsystems revenues 2011, $M

Carl Zeiss SMT

1

1

1545

Edwards

3

2

585

MKS Instruments

2

3

530

Brooks Automation

4

4

425

Pfeiffer Vacuum Technology

21

5

310

Horiba Ltd

6

6

250

Advanced Energy Industries

5

7

235

Cymer Inc.

8

8

235

EBARA Corporation

9

9

190

Hirata

13

10

180

 

Carl Zeiss SMT continued to gain market share as the top supplier, coming out of 2011 with record lenses sales at $1,545 million.

Edwards recaptured the #2 ranking from MKS Instruments, which dropped to #3.

Brooks Automation performed well, retaining #4.

The big gainer was Pfeiffer Vacuum Technology, which acquired Alcatel-Lucent’s Vacuum Technology Unit, Adixen, at the end of 2010. Pfeiffer Vacuum jumped sixteen places to #5.

Horiba consolidated its #6 position, while Advanced Energy Industries lost 2 places as the sale of its Aera mass flow business to Hitachi Metals took effect.

Cymer (#8) and EBARA (#9) posted steady growth.

A surge in Q1 2011 sales propelled Hirata into the Top 10 for the first time.

VLSIresearch inc provides market research and economic analysis on the technical, business, and economic aspects within nanotechnology and related industries. VLSIresearch’s primary databases and reports cover the semiconductor, flat panel display, PV cell and module manufacturing industries, and the market for critical subsystems and components within these and associated high technology industries. Website: www.vlsiresearch.com.

Visit the Semiconductors Channel of Solid State Technology!

April 20, 2012 — With a book-to-bill ratio of 1.13, North America-based manufacturers of semiconductor equipment saw a sixth climb in the ratio, which has steadily improved since it hit 0.71 in September 2011, according to SEMI’s March Book-to-Bill Report.

The three-month average of worldwide bookings in March 2012 was $1.48 billion, the highest reported value since July 2011, said Denny McGuirk, president and CEO, SEMI. The bookings figure is 10.7% higher than the final February 2012 level of $1.34 billion, and 6.4% below the $1.58 billion in orders posted in March 2011.

The three-month average of worldwide billings in March 2012 was $1.31 billion. The billings figure is 0.9% less than the final February 2012 level of $1.32 billion, and is 20.9% less than the March 2011 billings level of $1.66 billion.

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. A book-to-bill of 1.13 means that $113 worth of orders were received for every $100 of product billed for the month.

Figure. Billings and bookings figures are in millions of U.S. dollars. Source: SEMI April 2012

 

Billings (3-mo. avg)

Bookings (3-mo. avg)

Book-to-bill

 

 

 

 

Oct 2011

1,258.3

926.8

0.74

Nov 2011

1,176.7

977.2

0.83

Dec 2011

1,300.0

1,102.9

0.85

Jan 2012

1,239.9

1,187.5

0.96

Feb 2012 (final)

1,322.8

1,336.9

1.01

March 2012 (prelim)

1,310.9

1,479.3

1.13

The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS).

SEMI is a global industry association serving the nano- and micro-electronic manufacturing supply chains. For more information, visit www.semi.org.

 Visit the Semiconductors Channel of Solid State Technology!

The 23rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2012) will be held May 15-17 in Saratoga Springs, New York. The conference will feature presentations of more than 85 peer-reviewed manuscripts covering critical process technologies and fab productivity. This year’s event features a panel discussion on “Competing for R&D Dollars,” moderated by Solid State Technology Editor-in-Chief Pete Singer, and 15 technical sessions on advanced semiconductor manufacturing, as well as a tutorial on Advanced Device Design offered by IBM Research.

For over 20 years, ASMC has provided a premier venue for industry professionals to learn and share knowledge on new and “best practice” semiconductor manufacturing issues and concepts.  ASMC provides a valuable source of cost-effective, hands-on solutions to address real-world manufacturing challenges. It is acknowledged as a leading technical conference that enables collaboration and sharing of technical breakthroughs. This year’s conference features keynotes delivered by industry leaders, including: Michael Campbell, senior vice president, Engineering, Qualcomm, and Andrea Lati, principal analyst, VLSI Research.

As advances in materials and process technology continue, the semiconductor manufacturing industry is faced with difficult challenges as it balances costs and critical technology issues. Limited R&D dollars is the reality, and it is unclear how wafer size transition, next node scaling, new transistor technology, 450mm EUV, and 3D-IC will be funded. To address this issue, ASMC offers a panel discussion this year on “Competing for R&D Dollars: Funding the Future” with panelists from Applied Materials, ASML, GLOBALFOUNDRIES and IBM addressing 450mm, EUV and 3D.  

ASMC 2012 sessions include:

  • Factory Optimization: Semiconductor equipment and manufacturing are increasingly complex with strict economic constraints. The sessions discuss novel solutions to improve equipment/factory productivity and performance.
  • Advanced Metrology: Advanced semiconductor manufacturing demands advanced metrology techniques. This session details new technologies and improvements.
  • 3D/Through Silicon Via (TSV): Very Large Scale Integration motivates 3D integrated circuit architectures. This session presents complexities of TSV techniques supporting 3D designs.
  • Equipment, Materials & Processes: Advanced memory and logic manufacturers face daunting challenges as the next generation device nodes come on line. Innovations in equipment, materials, and processes help meet those challenges.  
  • Emerging Technologies and Innovative Devices: Innovative integrated circuit functionalities continue to be integrated in semiconductor manufacturing. This session presents analysis of the effects of enabling technologies, and innovative integrated circuit designs.
  • Equipment and Materials Productivity: Optimizing equipment and performance will help improve fab metrics, minimize wafer costs and maximize competitiveness— how to help optimize equipment utilization, improve predictive modeling of fab operations, and tool performance.
  • Advanced Patterning and Design for Manufacturability: IC production today requires innovative lithography design and manufacturing techniques, including collaborative efforts between chip makers and equipment suppliers discussing leading-edge solutions
  • Process Development and Control: The demand for high quality and product yields is a constant driver for advanced process development and control techniques. Session covers improvements in processes, tool controls and predictive process performance analysis.
  • Defect Inspection and Yield Optimization: Defect inspection, yield analysis and optimization are integral components in the development and manufacture of semiconductor devices

ASMC also holds an interactive poster session and reception, which provides an ideal opportunity for networking between authors and conference attendees. During this session, participants can engage authors in in-depth discussion of a wide range of issues.

ASMC 2012 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT).  Corporate sponsors include: Applied Materials, ATMI, ChemTrace, CNW Courier Network, Edwards, KLA-Tencor, Mentor Graphics, Nikon, NY Loves Nanotech, and Valqua. Additional sponsors include: Saratoga Convention & Tourism Bureau, Saratoga Economic Development Corporation, and the city of Saratoga Springs, New York.

April 13, 2012 — Georgia Institute of Technology researchers have used magnetic repulsion force as a fixtureless, noncontact tool for measuring the adhesion strength between thin films in microelectronic devices, photovoltaic cells, and micro electro mechanical systems (MEMS).

The magnetically actuated peel test (MAPT) could help electronics engineers understand and predict delamination/debonding, and improve resistance to thermal and mechanical stresses.

Figure 1. A specimen fabricated for the magnetically actuated peel test (MAPT). The silver cylinder in the center is the permanent magnet. SOURCE: Thin Solid Films.

The right materials will enable smaller, higher-performance, reliable electronic devices, said Suresh Sitaraman, a professor in the George W. Woodruff School of Mechanical Engineering at the Georgia Institute of Technology. “This technique would help manufacturers know that their products will meet reliability requirements, and provide designers with the information they need to choose the right materials to meet future design specifications over the lifetimes of devices.”

Thermal stresses occur when different layers within an electronic device have mismatched coefficients of thermal expansion (CTE), and will cause layers to separate. Researchers want to know if these layers will separate as the device is used over time, eventually causing failure, said Sitaraman.

Figure 2. Georgia Tech School of Mechanical Engineering professor Suresh Sitaraman (left) and doctoral student Gregory Ostrowicki (right) examine a specimen (seen in Figure 1) fabricated for the magnetically actuated peel test (MAPT). SOURCE: Thin Solid Films.

Sitaraman and doctoral student Gregory Ostrowicki have used their technique to measure the adhesion strength between layers of copper conductor and silicon dioxide (SiO2) insulator. They also plan to use it to study fatigue cycling failure, which occurs over time as the interface between layers is repeatedly placed under stress. The technique may also be used to study adhesion between layers in photovoltaic systems and in MEMS devices.

The Georgia Tech researchers used standard microelectronic fabrication techniques to grow layers of thin films that they want to evaluate on a silicon wafer. At the center of each sample, they bonded a tiny permanent magnet made of nickel-plated neodymium (NdFeB), connected to three ribbons of thin-film copper grown atop silicon dioxide on a silicon wafer.

The sample was then placed into a test station comprising an electromagnet below the sample and an optical profiler above. Voltage supplied to the electromagnet was increased over time, creating a repulsive force between the like magnetic poles. Pulled upward by the repulsive force on the permanent magnet, the copper ribbons stretched until they finally delaminated.

With data from the optical profiler and knowledge of the magnetic field strength, the researchers can provide an accurate measure of the force required to delaminate the sample. The magnetic actuation has the advantage of providing easily controlled force consistently perpendicular to the silicon wafer.

Many samples can be made at the same time on the same wafer, generating a quantity of adhesion data in a timely fashion.

To study fatigue failure — a common failure mode wherein delamination occurs over time with repeated heating and cooling cycles, Sitaraman and Ostrowicki plan to cycle the electromagnet’s voltage on and off. “A lot of times, layers do not delaminate in one shot,” Sitaraman said. “We can test the interface over hundreds or thousands of cycles to see how long it will take to delaminate and for that delamination damage to grow.”

The test station fits into an environmental chamber, allowing the researchers to evaluate harsh-environment electronics under the effects of high temperature and/or high humidity. “We can see how the adhesion strength changes or the interfacial fracture toughness varies with temperature and humidity for a wide range of materials,” Sitaraman explained.

Sitaraman and Ostrowicki have studied thin film layers about one micron in thickness, but say their technique will work on layers that are of sub-micron thickness. Because their test layers are made using standard microelectronic fabrication techniques in Georgia Tech’s clean rooms, Sitaraman believes they accurately represent the conditions of real devices. These are representative processes and representative materials, mimicking the processing conditions and techniques used in actual microelectronics fabrication.

“As we continue to scale down the transistor sizes in microelectronics, the layers will get thinner and thinner,” he said. “Getting to the nitty-gritty detail of adhesion strength for these layers is where the challenge is. This technique opens up new avenues.”

The research has been supported by the National Science Foundation, and was reported in the March 30, 2012 issue of the journal Thin Solid Films.

Learn more about Georgia Institute of Technology at http://www.gatech.edu/.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

April 11, 2012 — Intel Corporation (NASDAQ:INTC) announced its 2011 Intel Preferred Quality Supplier (PQS) awards, selecting 19 of its thousands of suppliers. Two suppliers received Intel’s Achievement Award for their extraordinary accomplishments in the areas of velocity, customer orientation and reduced cycle time supporting Intel’s semiconductor fab, packaging, and related activities. Intel announced 9 winners of the company’s Supplier Continuous Quality Improvement (SCQI) award for outstanding commitment to quality and performance excellence.

“2011 was a year that tested all aspects of the supply chain from core challenges of business continuity and affordability to the need for continuous innovation, velocity improvements and growing expectations of sustainability,” said Jaclyn A. Sturm, vice president, Technology and Manufacturing Group and general manager of Global Sourcing and Procurement. 

Also read: Intel, Samsung, TSMC semiconductor capex in 2012

Preferred Quality Suppliers: 

  • Advantest Corporation supplies testers, test handlers, and test interface products.
  • Applied Materials, Inc. supplies semiconductor manufacturing equipment, software and support services.
  • ASML supplies semiconductor lithography equipment.
  • Cabot Microelectronic Corporation supplies chemical mechanical polishing slurries.
  • Daewon Semiconductor Packaging Industrial Co., Ltd. supplies plastic injected molded trays.
  • DISCO Corporation supplies cutting, grinding, and polishing equipment and services.
  • DuPont AirProducts NanoMaterials L.L.C. supplies chemical mechanical planarization slurries.
  • Ebara Corporation supplies electroplaters, chemical mechanical polishers and pumps and abatement systems.
  • FUJIFILM Electronic Materials supplies formulated chemicals, developers, precursors, slurries and advanced photoresists.
  • KLA-Tencor Corporation supplies inspection and metrology capital equipment and services.
  • Marvell Semiconductor supplies application-specific integrated circuit semiconductor products and engineering resources.
  • Mitsubishi Gas Chemical Company, Inc. supplies chemicals for semiconductor device manufacturing.
  • Murata Manufacturing Co., Ltd. supplies multi-layer ceramic capacitors.
  • Rofin-Baasel supplies laser mark equipment.
  • Samsung Electro-Mechanics Co., Ltd. supplies flip chip substrates.
  • Siliconware Precision Industries Co., Ltd. supplies semiconductor assembly and test services.
  • STATS ChipPAC Ltd. supplies full turnkey packaging and test services.
  • TAIYO YUDEN CO., LTD. supplies ceramic capacitors, inductors, and filters.
  • Tosoh Quartz Inc. supplies quartzware for semiconductor wafer processing equipment.

Achievement winners:

  • Nikon Corporation awarded for velocity.
  • Powertech Technology Inc. awarded for customer orientation & cycle time reduction.

"This year’s Intel Preferred Quality Supplier award winners have truly distinguished themselves by driving and executing to world-class supply chain performance in execution, innovation and quality," said Sturm. "Intel’s 2011 have excelled across an extremely demanding set of expectations, and have distinguished themselves as industry leaders in technology innovation, supply chain excellence, and corporate responsibility," added Robert Bruck, corporate vice president and general manager of Technology Manufacturing Engineering. 

The PQS award is part of Intel’s Supplier Continuous Quality Improvement (SCQI) program that encourages suppliers to innovate and continually improve. To qualify for PQS status, suppliers must score at least 80% on a report card that assesses performance and ability to meet cost, quality, availability, delivery, technology and environmental, social and governance goals. Suppliers must also achieve 80% or greater on a challenging improvement plan and demonstrate solid quality and business systems. Additional information about the SCQI program is available at www.intel.com/go/quality.

Intel (NASDAQ:INTC) is a world leader in computing innovation. The company designs and builds the essential technologies that serve as the foundation for the world’s computing devices. Learn more at www.intel.com.

Check out Analog Devices Inc.’s (ADI) top suppliers of 2011 here.

Visit the Semiconductors Channel of Solid State Technology!

April 10, 2012 — Barclays Capital compiled its 2011 analysis of semiconductor wafer fab equipment (WFE) spending, with a look at the top players and underlying trends by process step. Here, Barclays’ CJ Muse looks at the growth areas for semiconductor test.

Overall semi test intensity (% of total WFE spending) fell in 2011, from ~7% to ~5.5.

Within the test market, system on chip (SoC) test continues to climb as a percentage of overall test, rising from ~43% share in 2007 to ~64% in 2011. Memory test has continued to shrink as a percentage of the overall market, though it stayed roughly flat year-over-year in 2011.

For information on Teradyne’s LitePoint acquisition and other top test players, read Wafer fab equipment leaders in 2011 and expectations for 2012

Next in the series: Lithography trends

Previous: Metrology trends

See All

April 10, 2012 — Barclays Capital compiled its 2011 analysis of semiconductor wafer fab equipment (WFE) spending, with a look at the top players and underlying trends by process step. Here, Barclays’ CJ Muse considers the rebound in process control due to weak yields at smaller chip nodes.

Process control intensity (% of total WFE spending) rebounded in 2011, hitting a new near-term high of 14%. While 2010 saw semiconductor manufacturing yield improvements, 2011 brought poor yields at the leading-edge nodes and increasing technology buys as foundries and memory makers began manufacturing next-generation nodes. Record quantities of process control and yield management tools were purchased in 2011 to combat yield issues from larger die and increased architectural, manufacturing, and material complexities.

For 2012, expect process control spending to remain roughly flat as capacity buys begin to take up a bigger part of the chip maker’s budget. In the long term, the process control market will remain steady, as yield will always be a key issue to deal with for chip manufacturers.

To learn more about the top players in metrology and process control, read Wafer fab equipment leaders in 2011 and expectations for 2012

Next in the series: Test trends

Previous: Deposition trends

See All

April 9, 2012 — Barclays Capital’s CJ Muse looks at the underlying trends in semiconductor wafer fab equipment (WFE) in 2011, following Gartner Inc’s data release. Top 5 takeaways? The top 5 WFE vendors continue to gain market share, Intel is a key customer with a big capex increase, the leading equipment vendors strengthened their hold on their respective WFE sub-segments, WFE intensity continues to edge higher, and expect several changes in the landscape in 2012 and beyond.

1. Similar to semiconductor chip maker consolidation, the semiconductor fab equipment supplier sector is undergoing secular consolidation. The top 2 suppliers in each segment are gobbling up market share and synergistic acquisitions. Historical data clearly shows the top 5 front end equipment makers taking a larger percentage of the overall WFE pie. For 2011 in particular, the top 5 vendors — ASML, Applied Materials (AMAT), Tokyo Electron (TEL), KLA Tencor (KLAC), and Lam Research (LRCX) — controlled ~60% of WFE. Looking to 2012, with Varian (VSEA) now embedded in AMAT and the LRCX/Novellus (NVLS) merger likely to be completed, consolidation should continue. The top 5 share gainers among equipment companies with sales exceeding $475M included ASML, KLAC, Hitachi High, TEL, and ASMI. Look for more pricing and R&D investment control in the face of pressures from the consolidating chip maker base, in addition to gaining more leverage from their individual 450mm investments.

2. Intel’s capital expenditures (capex) are up 107% from 2010, compared to the industry as a whole’s capex growth of 25%. Intel accounts for ~18% of the semiconductor industry’s spending. This made Intel an important customer for companies like ASML and KLAC, along with ASMI and Hitachi High. On the flip side, Lam’s lack of exposure to Intel’s etch spending drove a decrease in share for LRCX.

3. By and large, the 2011 market share data illustrated continued gains by the top equipment vendors in each key segment.

  • ASML gained 1 percentage point of lithography market share (81%), though its unit share declined from 74% in 2010 to ~61% in 2011, reflecting customers’ strategy to purchase their critical layer/leading edge tools from ASML and allocate the lagging-edge portion of their business to Nikon/Canon. ASML could achieve an 80% revenue market share in 2012 especially as Nikon trails with its S620 immersion tool and is behind on EUV development.
  • KLAC reached a historical process control market share high — rising 3% — enabling a 55% overall market share in 2011. AMAT saw its share roughly flat at 12% while Hitachi and Rudolph each saw a 1% decline in the overall process control market share. 
  • Varian, now a subsidiary of AMAT, maintained strong market share leadership in ion implant at 72% market share.

However, smaller vendors leveraged to Intel (whose capex grew from ~11% of the capex in 2010 to ~18% in 2011) experienced outsized gains in specific sub-segments, including etch, CVD, and semi test.  The top 3 CVD vendors lost incremental share in 2011 (their total share declined from 83% in 2010 to ~76% in 2011), with Hitachi and ASMI picking up points at their expense likely as a result of their leverage to Intel. LRCX and AMAT lost overall market share in etch (LRCX went from 47% in 2010 to 43% in 2011, with AMAT going from 20% to 12%), with TEL picking up 7% and Hitachi picking up 6%, again likely due to the mixshift to Intel. Lam’s single-wafer cleaning tool share dropped slightly to 19% in 2011 from 21% last year, with incremental growth at TEL. Though the market is small, in 2011 Lam achieved 100% market share in the bevel clean market, up from 62% the prior year. Teradyne’s share of the semi test market declined from 43% in 2010 to 36% in 2011, while Advantest/Verigy’s share grew from 42% to 49%, again as a result of Intel’s heavy spending on SOC test during the year. Intel is expected to return to normal spending on test in 2012.

4. While the latest Gartner data sizes the 2011 WFE market (excluding MOCVD equipment for the LED space) at ~$35B, Barclays believes that some irregularities and omissions in reporting are skewing the number higher, with the actual 2011 market at ~$31.5B. Taking this number with actual 2011 SIA semiconductor revenue data, it appears that WFE intensity (WFE as a % of semiconductor industry revenues) inched up again from ~9.7% in 2010 to ~10.5% in 2011. And, if the Gartner data is correct, intensity increased even more.

5. Changes will come in 2012.

  • Teradyne entered the wireless device test in 2011 via the LitePoint acquisition, and should see strong market share in 2012 with leverage to top share winning customers include Samsung, Apple, and Broadcom. While Advantest/Verigy benefitted enormously from ~$400M in test spend from Intel in 2011, look for Teradyne to recapture share lost in 2012 and beyond, led by gains in mobility and Intel’s normalized spending. Layer in Advantest attempting to convert its key customers from Verigy’s 93K to its T200 platform and Barclays predicts further share gains for Teradyne in the coming years. TER’s SOC test market share will expand to ~47.5% in 2012 and then to 55-60% over the next 5 years. 
  • While ASML is the undisputed leader in EUV lithography, some have raised concerns about Nikon’s ability to catch up to ASML in immersion with its new 621 tool. Intel is demonstrating two tools today from Nikon and the 14nm decision has not been made. ASML will likely continue to dominate at Intel, particularly as Intel looks to adopt double patterning and quadruple patterning at the 14nm node and below. Moreover, while ASML continues to invest in EUV, the company is also spending considerably on sustaining its competitive advantage in the ArF arena, both wet (immersion) and dry. ASML will maintain its current dominance in the immersion segment.
  • LRCX could penetrate Intel on the etch side. While Hitachi remains highly confident that they will maintain share here, anticipation of the 450mm transition on Intel’s part and the technical know-how of LRCX at 14nm and below should allow LRCX to make inroads.
  • With Novellus’s market share in PVD inching lower from 6.2% in 2009 to ~5.5% in 2010 and ~4.5% in 2011, Barclays expects a combined LRCX/NVLS entity to exit the PVD business.
  • Finally, KLAC’s superior product line-up should allow it at least to maintain its leading share in process control, if not increase it.