Category Archives: Metrology

July 16, 2009 – Here’s a quick rundown of the salient points made during this week’s closed-door ISMI session:

Still on the clock. ISMI is maintaining its focus on planning “a cost-effective 450mm transition,” noting that there has been no public change in the desire from the big three devicemaking 450mm proponents (Intel, Samsung, and TSMC) to have 450mm pilot line capabilities by 2012. So, ISMI has busily made progress in building 450mm infrastructure by working closely with devicemakers and suppliers of process/metrology equipment, factory integration components, and silicon.

Equipment selections, test wafers in 3Q

The SEMATECH subsidiary says it is now loaning 450mm single-crystal mechanical grade silicon wafers, and supplier information turns have started to improve wafer quality.
Supplier selections have been completed for six critical process and metrology equipment required to initiate generation of 450mm test wafers, and >60 suppliers have been engaged to identify and realize additional test wafer capabilities. 450mm equipment will begin generating and distributing test wafers in 3Q09. Multiple suppliers have begun developing 450mm mainframes to enable development of chamber-based processes. Equipment performance metrics for 60 tool types have been developed with inputs from process and metrology equipment suppliers, based upon ITRS specifications for 32nm and 22nm process generations.

Progress in interfaces, carrier standards

ISMI’s 450mm Interoperability Test Bed, working in conjunction with carrier, loadport, and equipment frontend module suppliers, has accelerated the progress of physical interfaces and carrier standards, conducted reliability testing of factory integration components, and validated standards concepts. Key milestones achieved include eliminating factory integration options and alignment on wafer pitch.

300mm-equivalent energy, water, emissions

Environmental performance targets are equivalent to 300mm on a per-wafer basis for energy and water use, and air emissions, ISMI says, and it has developed strategies to realize these goals.

The main theme at this year’s NCCAVS Junction Technology Group meeting will be 22nm junction, which many believe this will be the first node to use msec-only annealing (i.e. diffusionless), according to meeting chair John Borland, of J.O.B. Technologies. He also gives a quick recap of what’s ahead at 16nm. For more information about the group’s meeting, go to www.avsusergroups.org.

Companies are now starting to introduce 32nm process technology (Intel now, others next year), so this year’s NCCAVS will look ahead at the 22nm node. Discussions are likely to focus on methods, doping techniques, and metrology, Borland says.

Another likely topic of discussion at the meeting: while at the 22nm node there is a majority focus on extending planar CMOS, at the 16nm node FinFETs start to play a major role in terms of junction, he noted.

by James Montgomery, news editor, Solid State Technology

July 14, 2009 – Citing the embodiment of its concept of “holistic lithography,” ASML has unwrapped two hardware/software components to help chipmakers improve lithography process windows while avoiding costly and timely steps and maintenance downtime.

Traditional lithography likely faces the end of its road at 1.35NA wavelength, beyond which EUV is likely to take over; until then, chipmakers need to extend their processes as much as they can, explained Bert Koek, ASML’s SVP of lithography applications, in a presentation at SEMICON West. Shrinks reduce manufacturing costs and improve device performance, but they also tighten process windows and restrict production tolerances for parameters such as overlay and critical dimension uniformity (CDU).

The company’s concept of “holistic lithography,” represented by application-specific customizable packages branded under the name “Eclipse,” integrates computational and wafer lithography with process control to optimize process windows and keep the process in a “sweet spot.” Scanner data is pulled into a simulation, using pattern optimization (via Brion’s Tachyon source mask optimization [SMO] software) and verification so that users can “fine-tune” scanner settings and maximize the process window. Metrology techniques and feedback loops monitor overlay and CDU performance to continuously maintain the system centered in the process window. The concept aims to reduce the need for reusing reticles or test wafers, resulting in significant time and cost savings — e.g., the $100k’s in reticles and several weeks to respin. Design verification is known earlier, which reduces design proofs, time to ramp, and improves yields.


ASML’s “holistic lithography” flow. (Source: ASML)
CLICK HERE to view larger image

Asked to quantify how the Eclipse package could translate to extending immersion litho for various devices, Koek suggested that NAND flash could push to 25nm and even 20nm using spacers and 1.35NA; DRAM can get to 3Xnm; and logic to 32nm with single patterning before hitting the 22nm and probably adopting double-patterning.

Two new products flesh out the “holistic litho” concept. FlexRay is a freeform illumination technology that uses a programmable array of thousands of micromirrors, instead of a traditional illuminator and diffraction optical element (DOE), to condition and shape the light with greater flexibility to create increasingly complex patterns. (Koek acknowledged an audience question that ASML’s decade-old “aerial illumination” technology could be considered “an early version” of this.) SMO-defined pupil shapes can be rendered in a matter of minutes (initial setup time is around 10mins) vs. weeks with DOEs, and “an unlimited number” of DOEs can be stored in the scanner. ASML says tool-to-tool OPE matching is improved 50%, with 20% better CDU through pitch.

Koek presented various slides showing improvements by using the freeform illumination shape vs. quadrupole illumination, with depth-of-focus nearly doubled for 4Xnm DRAM and increased >50% for logic.


Mask and freeform source co-optimization improve process window. (Source: ASML)
CLICK HERE to view larger image


Tachyon SMO & FlexRay in a logic contact pattern, resulting in a larger process window and lower mask complexity. (Source: ASML)
CLICK HERE to view larger image

The other new product is BaseLiner, which addresses the problem of parameter drift; when tools stray out of spec the tool needs to be taken out of operation and recalibrated. With BaseLiner, monitor wafers are added to the production sequence, and standard measurements are captured “off-tool” daily by a metrology tool (say, ASML’s YieldStar systems) and exposed using a reticle with special scatterometry marks, to see how far the system has drifted from its “baseline.” Overlay and focus correction sets are then calculated and converted by the Twinscan system into corrections for each exposure on subsequent production wafers. A bonus, ASML says, is if a fab practicing overlay grid matching uses “golden” reference wafers as their baseline for overlay stability control.


(Source: ASML)
CLICK HERE to view larger image

Beta testing for BaseLiner will start in December with orders taken starting in 1Q10, with initial release on Twinscan XT:1700i, 1900i, and 1950i immersion systems on release 4.5. It will be offered by itself or bundled with ASML’s YieldStar tool, BaseLiner server, and BaseLiner reference reticle.

FlexRay will be offered as a factory option on the 1900i, 1950i (XT and NXT) and future systems; beta testing will start in 1Q10 with first products shipping in 2Q10. Toshiba is an early user of the FlexRay freeform illumination shape capability, combined with Brion’s Tachyon LMC and OPC+ for resolution enhancement techniques (RET), to extend its use of immersion lithography to the 2Xnm node. — J.M.


Examples of Eclipse packages. (Source: ASML)
CLICK HERE to view larger image

July 13, 2009: FEI has joined SEMATECH’s advanced metrology development program at the U. of Albany’s College of Nanoscale Science and Engineering (CNSE) to expand efforts to develop novel technologies to improve process control and yield for 45nm node and below manufacturing.

Specifically, FEI and SEMATECH experts will collaborate to develop high-resolution capabilities of transmission electron microscopy (TEM) analysis, with electron energy loss spectroscopy (EELS) and focused ion beam (FIB) technology to address critical needs in process development and defect analysis. These tools will provide the high resolution imaging and compositional data on the scale of a few nanometers. As part of the work FEI will contribute its wafer-to-TEM data equipment suite, at the core of which is its Titan TEM.

“Utilizing the automated, high-throughput CLM+ TEM sample preparation solution, combined with FEI’s TEMLink lamella lift out system, SEMATECH will be able to produce a steady supply of high quality TEM lamella for its Titan TEM. Equipped with the new MultiLoader double-tilt sample holder, the Titan TEM achieves a level of unprecedented connectivity across system platforms enabling secure, reliable, and traceable sample transfer,” said Rudy Kellner, VP/GM of FEI’s electronics division, in a statement.

Analytical TEM has historically been used for basic research in advanced materials development, but combined with EELS enables detailed information about physical structure, atomic arrangement, chemical bonding, density, and electronic behavior on a nanometer scale, resulting in a much more complete profile of each material.

“The collaborative effort among world-class researchers and engineers from FEI, SEMATECH and CNSE, along with access to critical laboratory analytical equipment available within CNSE, form an important cornerstone in providing world-leading advanced metrology capabilities to our members,” added John Warlaumont, SEMATECH VP of technology.

July 8, 2009 – Magma Design Automation is making its splash into the world of solar photovoltaics with a new software package to help solar cell manufacturers identify and correct causes of yield loss and thus improve energy conversion.

The Yield Manager Solar software provides a more “holistic” approach to gathering, analyzing, and correlating all of the metrology, inspection and performance data used in solar cell manufacturing that comes from a variety of manufacturing equipment and in a variety of unique formats. Data can be filtered by lot, ingot, substrate, wafer, and other parameters, and the software can generate customized reports and dashboards. Armed with this information, engineers can quickly identify and correct root causes of solar energy conversion efficiency and yield degradation caused by subtle fab processing fluctuations or instability — e.g., monitoring, reporting, and alerting about a non-uniform doping level on a process step, which could cause a drop in sheet resistance and reduce a solar cell’s energy conversion efficiency.


(Source: Magma Design Automation)
CLICK HERE to view larger image

“With YieldManager Solar, fabs can carefully monitor the entire solar cell manufacturing process over time and create highly customized reports that enable them to improve the energy conversion efficiency, reduce the manufacturing costs and increase the yield of silicon wafer-based solar cells,” said Ankush Oberai, VP of Magma’s fab analysis business unit, in a statement.


YieldManager Solar exports raw data and generates customized drill-down charts for accurate analysis. (Source: Magma Design Automation)
CLICK HERE to view larger image

Simultaneous with the Yield Manager Solar launch, Magma has signed a deal with Orion Metrology to integrate it with Orion’s inline inspection tools for PV solar cell manufacturers, allowing them to monitor random and parametric inline defects and instantaneously feed this information back to manufacturing to minimize the impact and severity of defects, improving yield and product efficiencies. YieldManager also will become the central data analysis component for Orion’s inline process and parametric measurement applications.

“The key to improving product efficiencies and manufacturing productivity is to identify how carrier lifetimes are being affected by process layer over a large area — from the surface to the bulk of the material — on 100% of the production throughput,” stated Orion Metrology CEO Joe Foster. “Our inline approach ensures a more accurate characterization of process variation. If monitored and corrected quickly, even small changes can yield dramatic improvements in product yield and efficiency, significantly increasing the value of the solar panels. Magma’s YieldManager Solar enables the inline and metrology data to be reported, managed, and understood in real time.”

by Debra Vogler, senior technical editor, Solid State Technology

July 7, 2009 — Owens Design Inc. has introduced the Element, a turnkey customizable metrology automation platform, consisting of a full wafer-handling system integrated with a choice of staging and software, that enables an original equipment manufacturer (OEM) to deploy a semiconductor “fab-ready” metrology tool.

The new platform can be easily customizable to meet the unique requirements of a particular metrology or inspection process, according to the company, which estimates that development time can be compressed by as much as six to nine months. Additionally, by eliminating redundant components from traditional metrology platforms through the integration of front-end module and the metrology/inspection module, the cost of the final tool can be reduced by as much as $40,000.

The platform features a vision-based alignment capability that also minimizes backside contact and eliminates the complexity and reliability problems (e.g., electro-mechanical components, through-beam sensors, gripping mechanism, etc.) inherent with conventional aligners. “We place the wafer on a pedestal and take a picture of it,” said Mark Danna, senior director of business development at Owens Design. “It’s far simpler and more reliable.”


The Element platform. (Source: Owens Design)

PeerGroup PTO software, which that company says has been deployed in over 20 fabs worldwide, is integrated into the platform, though end users can elect to choose their own host software instead. Other features include a dual FOUP wafer handling system, a 4-axis, dual-edge grip, end-effector robot, and integrated wafer staging. Owens Design’s new metrology platform is capable of handling 150mm, 200mm, and 300mm wafers. The Element mini-environment is ISO Class 1 and delivers front-side cleanliness of <0.1 particles at 0.12μm, PWP.

“New semiconductor process technologies often only have one chance to prove themselves and be adopted as the process of record,” said Danna. “The Element, which is the result the expertise gained in delivering multiple metrology platforms to leading-edge fabs, can play a key role in ensuring that chance is not wasted.” — D.V.


Dual edge grip end effector robot. (Source: Owens Design)

July 6, 2009: SEMATECH has accepted a Veeco Insight 3D atomic force microscope (AFM) to be put to use for non-destructive reference metrology for critical dimension (CD), overlay and contour, and 3D characterization of resist features in extreme-ultraviolet (EUV) lithography.

The work will be done as part of the International SEMATECH Manufacturing Initiative’s (ISMI) metrology program, located at the College of Nanoscale Science and Engineering’s (CNSE) Albany NanoTech Complex.

“One of the greatest metrology concerns for the 32-nanometer node and below is accuracy as it applies to CD and overlay measurements,” said John Allgair, SEMATECH metrology program manager, in a statement. “The InSight 3DAFM tool will address this concern and will be used as part of our overall CD metrology strategy to provide reference metrology for CD-SEMs and scatterometry [and] provide three-dimensional characterization of advanced EUVL resist structures.”

July 1, 2009 — To gain a better understanding of how new and existing wafer metrology technologies can be used, modified, or enhanced to measure and improve 3D interconnect processes, SEMATECH will host a workshop dedicated to 3D interconnect metrology on July 15 in conjunction with SEMICON West in San Francisco, CA.

To further explore innovative metrology capabilities, workshop participants will share real metrology results from 3D interconnect processing, discuss metrology challenges, and define possible solutions for measuring films and high-aspect ratio features that dominate 3D architectures.

“We must have the 3D tooling infrastructure available and address the equipment concerns that have to be resolved before volume manufacturing can take place,” said Andrew Rudack, SEMATECH’s 3D equipment process engineer and workshop chair. “Through SEMATECH’s 3D Interconnect program and workshops such as this, attendees can compare progress and develop an assessment on integration approaches, process architectures, and tool sets that will make 3D TSVs commercially viable.”

The speaker line-up includes:

Metrology Applications of Enabling Technologies for Wafer Thinning, John Moore, Daetec
Scanning Acoustics Microscopy for Metrology of 3D Interconnect Bonded Wafers, James McKeon, Sonix
Through-Silicon Via (TSV) Processes Demand Macroscopic to Microscopic Metrology, Liam Cunnane, Metryx
3D Interconnect Bonded Wafer Pair Metrology Using IR Microscopy, Richard Poplawski, Olympus-ITA
A Route Towards Non-Destructive Three-Dimensional CD Measurements of Through Silicon Via with X-ray Computed Tomography, Steve Wang, Xradia
Optical Metrology for TSV Process Control, Matthew Knowles, Zygo
Aspect Ratio Independent Non-Contact, High Throughput TSV Depth Metrology for 3D Interconnect Technology, David Marx, Tamar
The half-day workshop will conclude with a panel discussion entitled “3D Metrology

Countless benefits can be gained for dozens of industries with the ability to observe invisible elements, especially contaminants, at the nanoscale. Finding a way to manipulate and cure imperfections should leap us forward to longer, healthier lives for both our semiconductors and citizens. Comparable to how x-ray technology, MRI and sonography transformed the practice of medicine, a new approach for seeing the unseen promises great potential for finding new ways to improve the state of human and microelectronic patients alike.

By Vinayak P. Dravid and G. Shekhawat, Northwestern University

Seeing the invisible through a non-destructive, real-space imaging of buried or embedded structures and features below 100nm is a formidable challenge. Non-invasive radiations such as light and acoustic waves suffer from classical diffraction limit to attain sub-100nm resolution. High-energy probes, such as electrons, are invasive and require extensive and laborious specimen preparation. X-ray, or neutron, probes are difficult to focus down to sub-100nm scale and can also be invasive, especially for soft structures. Scanning probe microscopy (SPM) offers superb resolution but is sensitive to only surface features and phenomena.


Table 1. Various microscopy techniques, and their relevance to important metrology criteria. The question mark (?) implies limited conditions under which the technique satisfies the criterion.
Click here to enlarge image

To address these barriers, with the support of SRC and its members, we have developed a new approach in non-invasive, sub-surface, nanoscale metrology: Scanning near-field ultrasound holography (SNFUH), which combines the non-destructive nature of acoustic waves, high-spatial resolution scanning-probe platform and phase-sensitive holography paradigm. Its capabilities and results have not previously been possible without slicing the sample, which changes both the composition and structure and sacrifices characteristics of the studied subject.

In SNFUH, a high-frequency (=1MHz) acoustic wave is launched from below the specimen, while another acoustic wave at slightly different frequency is launched on the SPM cantilever. The resultant “surface standing ultrasound wave” is monitored by the SPM tip as an acoustic antenna, such that scattered phase and amplitude of the specimen acoustic wave are registered point-by-point. The resultant spatial map provides viscoelastic contrast offered by the phase sensitivity of acoustic wave.

SNFUH offers non-destructive nanoscale imaging of embedded and buried structures at unprecedented spatial resolution, more than 10–20nm, for a wide variety of materials, both hard, soft and hybrid. We believe SNFUH ushers a new era in non-destructive nanoscale metrology and opens new vistas for a multitude of applications. It offers not only an in-line, non-invasive metrology toolset for defect analysis and quality control in current generation microelectronics, but also for emerging and future nanoscale structures and devices.

History of intrigue

Microscopy has come a long way since the first observations were made through homemade optical microscopes in the mid-seventeenth century: snow flakes by Robert Hooke, an Englishman, and spermatozoa by Dutch scientist Anthony van Leeuwenhoek. The past two decades in particular have witnessed remarkable developments in real-space imaging techniques, ranging from atomic-scale SPM of surfaces to sub-microscale confocal imaging of biological structures. Remarkably, however, there is a notable absence of techniques for non-destructive imaging of embedded or buried sub-surface features at nanoscale resolution.


Figure 1. A schematic illustration of SNFUH approach. A high frequency acoustic wave is launched from below the specimen, while another high frequency acoustic wave (but at slightly different frequency) is launched on the SPM cantilever. SNFUH electronic module is used to spatially monitor the phase perturbation to the standing surface acoustic wave, which results from scattered specimen acoustic wave. The resonant frequency of the typical cantilever, f0, is in the 10-100 kHz range.
Click here to enlarge image

There is a clear void between the two ranges of length-scales offered by non-invasive imaging techniques, such as confocal/multi-photon or acoustic/sonography techniques, and x-ray/neutron imaging. As materials, structures, and phenomena continue to shrink and the micro/nanofabrication paradigm moves from planar to 3D/stacked platforms, there is an acute need to image and analyze surface/sub-surface features and phenomena, non-invasively, at ultrahigh resolution and sensitivity, coupled with usual ergonomic/economic considerations.

Non-destructive imaging is obviously critical in the microelectronics industry, given ever-reducing profit margins and concomitant need for improved yield. Reduced time-to-market and imperative quality control in an ever-complex multitude of processes provide both the challenge and reward for this research.

The entirely new, “out-of-the-box” SNFUH imaging approach is the only option that meets all necessary criteria for adoption by industry. Various current characterization tools for sub-surface imaging, force modulation microscopy, nanoindentation, and picosecond ultrasonic or photoacoustic probes address some aspects of the sample. Each application, however, fails to meet one or more key criteria regarding spatial resolution, quantitative capability or non-destructive nature (Table 1). This is particularly true if features of interest are buried deeper into the material, beyond the interaction range of proximal probes.

Several SPM-based techniques have been introduced in recent years with mixed results in the context of sensitivity to surface nanomechanical variations or sensitivity to embedded or buried features or quantitative extraction of nanomechanical contrast. Force modulation microscopy (FMM), ultrasonic force microscopy (UFM), and heterodyne phase microscopy (HPM) are notable techniques which have enjoyed some success for nanomechanical mapping of elastic and viscoelastic properties of soft and hard surfaces. Wider deployment of these techniques, however, is generally inhibited by lack of reproducibility, environmental effects in usual contact mode of imaging and lack of compelling evidence for sensitivity to buried and embedded structure and ease of use.

Basic concept of SNFUH

The SNFUH development integrates three major approaches with a unique combination of the SPM platform, which enjoys excellent lateral and vertical resolution. Coupled with microscale ultrasound source and detection–which facilitates “looking” deeper into structures, slice-by-slice–it offers a novel holography approach to extract and enhance phase resolution and phase coupling in imaging.


Figure 2. Schematic illustration of origin of perturbation to surface acoustic standing wave in SNFUH
Click here to enlarge image

SNFUH involves launching a high-frequency (a few MHz) ultrasound wave from the bottom of the specimen, while another wave is launched on AFM cantilever at a slightly different frequency (Figure 1). The interference of these two waves would nominally form products and so-called “surface-standing ultrasound wave,” and both amplitude and phase components are monitored via lock-in approach by the AFM tip.


Figure 3. Conceptualization of the SNFUH module.
Click here to enlarge image

As the specimen acoustic wave gets perturbed by sub-surface and surface features, especially its phase, the local surface acoustic interference is effectively monitored by the AFM tip. Thus, within the near-field regime, the acoustic wave–which is non-destructive and sensitive to mechanical/elastic variation in its path–is fully analyzed, point-by-point, by the AFM acoustic antenna in terms of phase and amplitude. As the specimen is scanned across, a pictorial representation of perturbation to the surface standing acoustic wave is fully recorded and displayed, to offer quantitative account of the internal microstructure of the specimen.

Image formation

The mechanism for the formation of the acoustic standing wave and the origin of the contrast and high sub-surface sensitivity in SNFUH can be conceptually understood with reference to Figure 2. In SNFUH mode, the perturbation to the surface acoustic standing wave, resulting from specimen acoustic wave scattering, is monitored by SPM acoustic antenna. The resulting cantilever deflection merely follows the perturbation to the surface standing acoustic wave, which represents the dissipative lag/lead in the surface response with respect to the tip reference frequency, i.e. the time of flight delay of the specimen acoustic waves reaching the sample surface. Extracting the spatial dependence of this phase term provides image contrast indicative of the relative elastic response of the buried structures, interfaces, and embedded defects to the specimen acoustic wave. This results in a surface-standing acoustic wave.

In a homogeneous specimen (Fig. 2, left panel), the surface-standing acoustic wave is merely the interference of specimen and cantilever acoustic waves without any local perturbation. On the other hand, if a scattering feature is present below the specimen surface (Fig. 2, right panel), the scattering of the specimen acoustic wave results in local perturbation to the surface acoustic standing wave, which is registered by the SPM cantilever antenna.

The contrast variation, or sensitivity, in SNFUH arises from the acoustic phase difference between the matrix and the feature. The acoustic wave propagation is directly related to the elastic modulus difference. The lateral spatial resolution is governed by the SPM probe interaction with the acoustic standing wave at 10-15nm. The degradation of spatial resolution should be commensurate with depth, beyond the near-field regime, because of far-field scattering and diffraction.

Because the acoustic phase information is spatially recorded, it is possible to obtain, via modeling, depth distribution of phase and to convert the data into a 3D tomography map of the embedded features.

Research tools

Our research employed a conventional JEOL SPM 5200 scanning probe microscope system with a modified stage and cantilever holder system. The feedback electronics of the system was modified and an electronic module, developed in-house, was implemented along with an RF lock-in approach to extract the measurable sub-surface phase component of the acoustic wave.

It’s important to note that SNFUH can be readily adapted to any other commercial SPM platform, with minor modifications.

Commercial piezoelectric ceramics are used to provide ultrasonic vibrations to the sample and the cantilever. Each oscillator has an out-of-plane resonance at approximately 2.1–2.5MHz and 2.3–2.8 MHz, respectively.


Figure 4: Buried copper interconnects below the surface, unseen with a typical AFM/SEM (left), but revealed in a SNFUH phase image (right).
Click here to enlarge image

The SNFUH electronic module (Figure 3) monitors the difference frequency input to an RF lock-in amplifier as a reference. Closely matched piezocrystals are used to keep the frequency difference below the cut-off frequency of the SPM photodiode (<1MHz). The difference frequency is chosen in view of the optical detection limitation of the SPM photodiode. The images are acquired using the soft contact mode for hard structures, and near-contact mode for biological structures.

The SPM differential photodiode signal constitutes an input to the SNFUH electronic module, which enables simultaneous extraction of topography, acoustic amplitude and the acoustic phase, to form respective images. The topography images are obtained using normal optical feedback of the system, while the SNFUH electronic module provides the phase component of the acoustic wave for the sub-surface contrast.

Implications for microelectronics metrology

Having firmly established the proof-of-concept behind SNFUH, we have developed an extensive portfolio of SNFUH applications to diverse problems in physical sciences, engineered systems, and life sciences. In collaboration with SRC member companies, we are particularly focused on the potential applications of SNFUH in critical metrology needs for microelectronics.

In addition, biomedicine is moving toward use of nano-bio-structures to interrogate cells and deliver therapeutic cargo. As this requires a non-invasive view inside the cells to monitor what happens under physiologically viable conditions, SNFUH can play a key role.

Our model experiments have helped to quantify SNFUH parameters, which are directly relevant to several critical metrology challenges. Those include:

  • Identification of buried defects in multi-layer thin-film stacks and nanopatterned structures;
  • Buried defects identification in multilayer photoresist films;
  • Stress migration in 3D MEMS structures, devices, and cracks in bonded wafers;
  • Quantitative modulus mapping of multilayer films;
  • Identifying buried voids in copper vias and interconnects; and
  • Nanomechanical properties of low-k dielectrics material and porous structures

The next nano-metrology toolset

Further refinement of SNFUH, and its quantitative understanding, provide considerable promise for an entirely new nano-metrology toolset. Next steps in development of the technology include system integration, material handling, faster scanning, and high throughput of results. In-line tools and methods for addressing these needs will be created by a new spin-off company, NanoSonix.

In the next 12 months, the spin-off will develop an add-on module for existing commercial SPM equipment in order to meet associated off-line metrology requirements. Availability of such an add-on module will make this technology accessible to a wider community, not only in semiconductor metrology but also in bio-application for both academia and industry to look deep below surfaces non-destructively with nanoscale resolution.


Vinayak P. Dravid is professor of materials science and engineering and the director of the NUANCE Center at Northwestern University. E-mail: [email protected].
G. Shekhawat is Research Assistant Professor at the Northwestern University Institute of Nanotechnology.

by James Montgomery, news editor, Solid State Technology

June 30, 2009 – In its third acquisition in as many months, Budapest, Hungary-based Semilab is extending its reach into materials analysis capabilities to complement what it can bring to fabs for their in-line metrology processes.

Semiconductor Diagnostics Inc. (SDI), which offers noncontact measurement for materials — e.g. wafers for IC and solar manufacturing — will be folded into a new unit, Semilab USA, which will encompass all the company’s domestic operations, including recently acquired AMS (née Philips AMS) and SSM (in which it bought a majority share in March 2008). AMS’ Chris Moore will head the unit, which will be headquartered in a new 23,000 sq. ft facility in Billerica, MA, though all three will operate as separate entities.

SDI, founded in 1988, has 380 systems installed in fabs across the US, Europe and Asia, ranging from fully automated systems for 300mm product wafer measurements to smaller manual loading systems, according to the company. Its sweetspot is basically analysis for things that “tells about the wafer surface, concentrating on the starting materials side” — like diffusion lengths and contamination (e.g. copper and iron) in wafers and dielectrics, with capabilities including a patented noncontact method to measure stress-induced leakage current (SILC), Moore told SST. “[It’s] looking at materials coming in, solar material or silicon in a fab: How good is that material? What’s the contamination? There are a number of measurements of that material that determine what you can do with it.” And restrictions on starting materials are getting tougher with continued scaling and materials evaluation, so these types of analyses are becoming more important, he noted. (Two words: 450mm wafers.)

Semilab has been assembling technologies via M&A (QC Solutions and AMS in March 2009; SOPRA SA, the SSM stake, and AMAT’s Boxer Cross technology in 2008) that could be conceivably be combined in cluster-type configurations. While SDI’s tools are “more at-line technology, not inline,” Moore did project possible integration onto common platforms to improve service & spares, and possibly combinations with other Semilab technologies to broaden metrology capabilities for certain applications — e.g. adding more measurement capabilities to Semilab’s WT-2000 tabletop system, he said.

Asked whether Semilab has witnessed in-the-trenches evidence to support recent industry data suggesting the market has reached bottom and is poised to swing up, Moore acknowledged that customers have changed their tune a bit, and are once again showing signs of optimism. “That’s a huge change…people were so pessimistic and depressed, they weren’t even forward planning” just a few months ago, he said. “Now they’re starting to look where they’re going and [will] have to spend money.” This fragile optimism is couched with a new wrinkle, though — requests to defer payment a year out, which Moore speculates could reintroduce the discussion of equipment leasing as a business model. “It’s never been viable in the past, but now it makes some sense,” he said. “There’s good resale value on the market.” And with 450mm manufacturing solidly set on the horizon for well into the next decade and possibly beyond, that window could convince both suppliers and customers that a 300mm leasing model could be sustainable for years, he noted. — J.M.