Category Archives: Metrology

November 20, 2008: Optical packaging specialist Avo Photonics has been selected as the manufacturing facility for Luna Technologies’ PHOENIX 1000, a MEMS-based external cavity laser which offers low noise and precise tuning capability over the C-band. The laser is scalable, rugged and fast, delivering superior performance for applications including measurement, fiber grating based sensing, spectroscopy and metrology.

Luna Technologies announced the acquisition of the intellectual property rights of the Iolon “Apollo” laser in December of 2006. Since that acquisition, Avo Photonics has been the exclusive manufacture of the rebranded PHOENIX 1000.

Avo Photonics has produced large quantities of the laser for Luna with impressive yields over the past 20 months within its Horsham, Pennsylvania facility. Some of the specialized assembly equipment was transferred from Coherent to Avo where it was integrated with the array of existing photonic manufacturing capabilities including automated die and wire bonding, laser welding, hermetic sealing and leak testing, micro, mini, and macro optical system assembly, vacuum packaging, precision inspection, opto-electronic characterization, environmental testing, and burn-in/life-testing.

“Tunable lasers have become a key enabling element in a variety of fiber optic measurement and sensing applications,” said Dr. Brian Soller, president of Luna Innovations’ products division. “We are fortunate to have Avo Photonics partner with us in the manufacturing of this device. Avo’s attention to detail and consistent focus on quality have enabled us to bring to market a laser that exceeds expectations.”

Klaus-Dieter Röth, Dieter Adam, Dr. Karl-Heinrich Schmidt, Frank Laske, Dr. Slawomir Czerkas; Vistec Semiconductor Systems GmbH

Tighter registration tolerances for the 45nm node and beyond require a next-generation registration metrology tool with capability to measure EUV masks with diverse substrate materials that might be used in 32nm and 22nm chip production.

The ITRS 2006 roadmap requires the overlay specification for DRAM reticles at half-pitch 32nm to be 3.4nm; and for the 22nm node it will be 2.4nm, 3σ (including multipoint compensation). Manufacturing such fine-pitch patterns will be difficult for optical methods — even with double patterning — and so EUV lithography might become the solution for 32nm- and 22nm-node volume manufacturing to overcome the resolution limits of optical lithography. However, EUV has its own challenges.

Since the EUV exposure process will use an incident angle larger than zero, the off-axis optics of the EUV stepper will cause pattern shift and CD variation from shadowing (Fig. 1). Therefore, pattern placement and critical dimension of EUV reticles need to be tightly controlled.


Fig. 1: Pattern shift and CD variations induced by non-perpendicular illumination as it is intended for EUV steppers.

Thermal behavior of the mask will be especially critical for overlay error performance, since the substrate absorbs heat from EUV exposure in the stepper. That makes the sensitivity of the mask to any temperature changes during the exposure process critical. To reduce thermal expansion, a new type of low thermal expansion material (LTEM) has been developed. This material replaces the original fused-quartz substrate for EUV masks, and improves the exposure process. Current production masks use fused silica — which has an expansion coefficient of around 500ppb/K, like the substrate. The new material comes with a temperature sensitivity that is about two orders lower than its predecessor at approximately 5ppb/K.

Registration tool evaluation

In this experiment, both types of substrates are used for measurement performance evaluation. Each mask is covered with a Mo/Si multilayer with 40 bi-layers, which in turn is covered with a 10nm CrN buffer layer. The top layer consists of a low reflectivity TaBN absorber material with a thickness of 70-90nm and is patterned.

Our investigations were performed using the standard 3-point reticle support of the LMS IPRO4 because of the known deficiencies of measurement results for image placement accuracy when using available electrostatic and vacuum chucks. [1,2]

Measurement perfomance

The standard Vistec performance test was used to derive the measurement performance on both EUV masks. On a 9×9 grid of measurement sites over a 100×28mm field, the data was obtained on several days and in four different mask orientations. On both EUV masks, an isolated cross with 10μm CD served as measurement feature. The measurement time needed for 10 repetitions was ~2.25hrs. For the evaluation of the dynamic short-term repeatability, 20 measurement repetitions of the 9×9 grid were acquired without unloading the mask from the stage. Subsequently, the mask was rotated and measured in 90°, 180° and 270° orientation, with 10 repetitions in each orientation. Finally, the mask was rotated back to 0° and an additional 10 repetitions were taken and the data compared to 10 loops of the short term data. Finally, 10 repetitions were taken on both masks on each of the following eight days to verify the average short-term performance on each mask.

Placement measurement: short-term repeatability

Short-term repeatability for placement measurement was derived from 20 measurement repetitions on each mask. The data sets were aligned by using a multipoint-alignment function. After alignment, the remaining translation and rotational errors in the grid are 0. Afterwards, the standard deviation (3σ) value was calculated for each single site. The maximum of these 3σ values represents the measurement performance for short-term repeatability according to the standard metrology evaluation method.The short-term repeatability for both EUV masks obtained was better than 0.9nm on either EUV mask type, quartz or LTEM. On average, the system performs at 3σ < 0.6nm, based on results from all 81 measurement sites.

The next step was to investigate whether the measurement performance was the same on both types of EUV mask. Therefore, data was obtained on eight additional consecutive days, with 10 repetitions per day.


Fig. 2: Short-term repeatability performance data for 10 days based on 10 measurement sequences each day.

The box-plots in Fig. 2 provide the results of short-term repeatability measurements done for a total of 10 days, including the measurements on two days for long-term repeatability evaluation. The box contains 50% of the data. The black line in the box marks the median of this distribution. This median provides a value which is independent from any individual performance flyers and thus should provide reliable information on general performance capability. At the top of the figure, the mean values were plotted, whereas at the bottom the maximum values out of the 81 measurement sites is shown. The data does not show any significant difference between quartz and LTEM based EUV masks.

However, a significant performance difference can be seen when comparing X- versus Y-direction measurement results. This deviation is still subject to further investigations by Vistec’s R&D team and may be related to the current design of the laser interferometer control system of the LMS IPRO4 stage.

Placement measurement: long-term repeatability

The registration measurement performance — including unloading and reloading — was evaluated to determine the systematic error contribution induced by the loading procedure. The evaluation of long-term repeatability performance is based on two data packages including 10 repetitions each, derived on two different days. Since systematic error contributions are expected, the standard 3σ calculation must not be applied as the data does not exactly follow a Gaussian distribution. Therefore, the “99.73% confidence limit” evaluation is used, including the range of the means and the individual 3σ values of each data package. This evaluation would be equivalent to the 3σ value if the distribution were Gaussian.

Table 1 summarizes the data for long-term repeatability. The performance is always better than 1.0nm on each EUV mask type. The mean 3σ long-term performance overall sites is even better at 0.65nm. Measurements on quartz and LTEM EUV masks do not show any significant difference.


Table 1: Long-term repeatability performance values for both types of EUV masks.

Placement measurement: Nominal accuracy performance

The nominal accuracy performance is summarized in Table 2. It includes data obtained in all four orientations. As expected, the measurement data of each orientation show a random distribution, and a systematic error contribution can be observed when comparing the data of different orientations. Therefore, the specific evaluation of nominal accuracy is performed in a similar way as the evaluation of the long term repeatability. The measurement results of each orientation are grouped, and the range of the means of all orientations is calculated as well as the individual 3σ distributions per orientation. This calculation is performed for each measurement site individually, as were the previous evaluations.

The performance on the EUV mask is better than 1.6nm and thus much better than the specification for nominal accuracy of 2.2nm. Indeed, as reported earlier [3], the performance is very similar to the accuracy performance observed on the beta site system on standard quartz reticles (1.64nm) when the appropriate Young’s modulus for each of the individual mask types is applied to correct for the different sagging behavior of the two substrate materials. Applying the standard Young’s modulus for quartz to LTEM would result in a systematic measurement error degrading the performance by approximately 30%.

It’s important to emphasize that the nominal accuracy performance achieved is very similar on both types of substrates, LTEM and quartz respectively.


Table 2: Nominal accuracy performance values (measured in 4 orientations) for both types of EUV masks.

Comparison of measurement performance of EUV reticles vs. COG reticles

Since EUV masks and COG masks show different optical behavior, it was not possible to predict whether the measurement performance results on COG masks could also be achieved on EUV reticles. In Table 3, the measurement results as obtained during this investigation on EUV masks are compared to the results achieved on the Vistec COG test mask during standard acceptance test on the beta site system as reported recently[3]. The measurement performance data achieved on the EUV mask on the Vistec demo system is very similar to the data achieved on the COG mask on the beta site machine during on-site acceptance test.


Table 3: Comparison of performance values obtained on EUV masks vs. performance data obtained on a standard 6025 COG Vistec test plate. (Data were achieved on two different LMS IPRO4 systems)

Besides the evaluations being made on two different systems, the measurement procedures are not 100% identical. The Vistec test mask provides the opportunity to measure a 15×15 array of test structures covering 140×140mm, whereas there were only 81 suitable structures available on the EUV reticles on a 100×128mm area. Therefore, the measurement time was ~3× longer on the COG mask, and any potential measurement-time-related uncertainty might have a stronger impact on the COG data. All other measurement parameters, including the data evaluation procedure, were identical.

Summary

The measurement performance on typical EUV test masks (LTEM and fused quartz substrates) is the same as the standard measurement performance on COG masks. This performance — ~1.5nm nominal accuracy — was achieved using the standard 3-point support. The LTEM substrate-based EUV mask did not require any soaking time on the stage for temperature equilibration after loading from the SMIF pod into the temperature controlled chamber.

Since the total pattern placement metrology budget for the 22nm node is expected to be approximately 25% of the overall mask specification of 2.4nm(3σ), a placement measurement performance of 0.6nm would be required for the critical masks at the 22nm node. The LMS IPRO4, however, cannot yet achieve this performance. Therefore, further significant improvements are required before reticle production for the 22nm node can begin.


Acknowledgments

The authors wish to thank Hiroshi Kinoshita, Naoki Nishida, and Daisuke Kenmochi from Hoya Corp., Tokyo, and Hitoshi Ota and Yukitake Tanioka from Dainippon Screen Mfg. Co. Ltd., Tokyo, for their contribution and helpful support.

References:

[1] S. Yoshitake, S. Tamamushi, T. Takigawa, S. Mitsui, M. Ogasawara, J. Butschke, M. Irmscher, M. Ferber, D. Adam, K.-D. Röth, 2005 International Symposium on EUVL
[2] S. Yoshitake, H. Sunaoshi, S. Tamamushi, S. Mitsui, M. Ogasawara, T. Yamada, T. Shoki, J. Butschke, M. Irmscher, M. Ferber, J. Bender, D. Adam, K.-D. Röth, The study for image placement repeatability of EUV mask on the flat chuck, EUVL Symposium 2006
[3] Klaus-Dieter Roeth, Gunter Antesberger, Christian Enkrich, Frank Laske, Dieter Adam, Registration Metrology for 45nm Node Reticles, SEMI Technology Seminar 2007, Makuhari, Japan

Author biographies:

Klaus-Dieter Röth is senior product manager of mask metrology at Vistec Semiconductor Systems GmbH. He holds a Dr. rer. Nat., Dipl. Phys. from University GieΒen.
Contact: Kubacher Weg 4, D-35781 Weilburg/Germany; Tel +49 6471 910 2673; e-mail: [email protected]

Dieter Adam is manager of R&D mask metrology at Vistec Semiconductor Systems GmbH. He holds Dipl. Ing. and Graduate Engineer degrees from the Technical University Dresden.

Dr. Karl-Heinrich Schmidt is Application Engineer and Software Engineer at Vistec Semiconductor Systems GmbH. He holds a Dr. Ing. from the Comprehensive University, Siegen.

Frank Laske is senior applications engineer for mask metrology at Vistec Semiconductor Systems GmbH. Previously he was process engineer for mask metrology, AMTC Dresden.

Dr. Slawomir Czerkas is a R&D mask metrology system engineer at Vistec Semiconductor Systems GmbH. He holds a Ph.D from Bielefeld University in Experimental Physics (Spintronics) and a MSc in Technical Physics from Poznan University of Technology, Poland.

October 23, 2008 Ambios Technology, Inc. introduced its new Q-View White Light Interferometer/SPM system at the 23rd Annual Meeting of the American Society for Precision Engineering in Portland, OR. This new system combines the capability of white light interferometry and SPM technology.

The Q-View Interferometric Module integrates seamlessly onto the Q-Scope SPM platform. Q-View uses optical profiler technology to render and measure a large area (500μm) in a few seconds. Switch to SPM mode and characterize surface structures at the sub-angstrom level.

“The synergy of combining SPM and Interferometer technology on a single platform will greatly enhance surface imaging and metrology for the community of SPM users,” said Rick Olds, sales and marketing manager of Ambios Technology.

Q-View White Light Interferometer/SPM is provided as a fully integrated system with the benefits of two technologies on one unified platform. In addition, the Q-View Interferometer module is available as an upgrade for existing Q-Scope customers.


Q-View Atomic Force Microscope (AFM/SPM) with Interferometer.

October 3, 2008: Reacting to strong customer demand, Michelson Diagnostics Ltd. (MDL) is broadening the commercial application of its ‘multibeam’ optical coherence tomography (OCT) technology into markets outside its core target of cancer diagnosis, such as industrial metrology, product inspection, tissue engineering, and developmental biology.

“We are reacting to customers who have realised that the superior image definition provided by our patented multi-beam OCT technology is advantageous in their applications”, said MDL CEO Jon Holmes, in a statement. “For a given depth-of-focus, you get twice the resolution, (or, for a given resolution, four times the focal depth), compared with single beam OCT systems — which means crisper, clearer images.”

He cited applications such as MEMS metrology, inspection of ceramic composite materials and industrial coatings, fine art conservation, gemstone quality, stem cell research, and dentistry as examples.

The company currently markets the EX1301 OCT microscope with <10μm lateral resolution, by virtue of its multi-beam optical design.


EX1301 OCT microscope in use.

ACAMP appoints Yallup as CTO


September 24, 2008

September 23, 2008: ACAMP, the Alberta Centre for Advanced Microsystems and Nanotechnology (MNT) products has appointed Dr. Kevin Yallup as chief technology officer, to lead the center’s product development efforts to build a world-class capability in Alberta for the packaging and assembly of micro- and nano-scale technology devices, turning them into market-ready commercial or industrial products and applications.

“We are very pleased Kevin joined our team,” said Ken Brizel CEO of ACAMP. “Kevin brings over 15 years experience in MNT product development from Europe to Alberta. In this role, Kevin will lead the ACAMP engineering team in supporting companies producing MNT products, through consultation, hands on design and development of state of the art packaging and assembly technologies.”

Yallup’s initial focus will be to provide practical industrial engineering support, purchase specific equipment and hire and help train the engineers needed to complete the team. ACAMP has established a class 1000 clean room, wet lab, metrology and test lab. State of the art assembly and packaging equipment is being put in place over the remainder of 2008 and beginning of 2009 including laser dicing, probers, gluing stations, Flip-Chip bonding and a host of test and reliability equipment which will facilitate the challenge of packaging micro and nano-products for prototyping and volume production for applications in energy, biomedical, agriculture, the environment, and information and communications technology.

September 8, 2008: Nanometrics Inc., a supplier of advanced process control metrology equipment, has launched a search for a new chief financial officer following the resignation of Gary Schaefer to pursue other interests, effective immediately.

Bruce Crawford, company COO, has assumed the role of CFO on an interim basis. Quentin Wright, who served as CFO of Nanometrics in 2007, has been named chief accounting officer and will work closely with Bruce to ensure a smooth transition until a permanent CFO is named.

“Bruce has been a key member of the senior management team for over two years and, together with Quentin’s prior experience as CFO, will provide solid finance leadership and ensure a smooth transition until a new CFO is named,” commented Tim Stultz, president/CEO of Nanometrics. “We wish Gary great success in his future endeavors.”

August 21, 2008: Engineers at Purdue U. have created a tiny motorized positioning device that has twice the dexterity of similar devices being developed for applications that include biological sensors and more compact, powerful computer hard drives. The device, called a monolithic comb drive, could be used as a “nanoscale manipulator” that precisely moves or senses movement and forces.

The devices also can be used in watery environments for probing biological molecules, said Jason Vaughn Clark, an assistant professor of electrical and computer engineering and mechanical engineering, who created the design.

The monolithic comb drives could make it possible to improve a class of probe-based sensors that detect viruses and biological molecules. The sensors detect objects using two different components: A probe is moved while at the same time the platform holding the specimen is positioned. The new technology would replace both components with a single one — the monolithic comb drive.

This illustration depicts a tiny device called a monolithic comb drive, which might be used as a high-precision “nanopositioner” for such uses as biological sensors, computer hard drives, and other possible applications.(Source: Birck Nanotechnology Center, Purdue U.)
Click here for larger version

The innovation could allow sensors to work faster and at higher resolution and would be small enough to fit on a microchip. The higher resolution might be used to design future computer hard drives capable of high-density data storage and retrieval. Another possible use might be to fabricate or assemble miniature micro and nanoscale machines.

Research findings were detailed in a technical paper presented in July during the University Government Industry Micro/Nano Symposium in Louisville. The work is based at the Birck Nanotechnology Center at Purdue’s Discovery Park.

Conventional comb drives have a pair of comblike sections with “interdigitated fingers,” meaning they mesh together. These meshing fingers are drawn toward each other when a voltage is applied. The applied voltage causes the fingers on one comb to become positively charged and the fingers on the other comb to become negatively charged, inducing an attraction between the oppositely charged fingers. If the voltage is removed, the spring-loaded comb sections return to their original position.

By comparison, the new monolithic device has a single structure with two perpendicular comb drives.

Clark calls the device monolithic because it contains comb drive components that are not mechanically and electrically separate. Conventional comb drives) are structurally “decoupled” to keep opposite charges separated.

“Comb drives represent an advantage over other technologies,” Clark said. “In contrast to piezoelectric actuators that typically deflect, or move, a fraction of a micrometer, comb drives can deflect tens to hundreds of micrometers. And unlike conventional comb drives, which only move in one direction, our new device can move in two directions – left to right, forward and backward – an advance that could open up the door for many applications.”

Clark also has invented a way to determine the precise deflection and force of such microdevices while reducing heat-induced vibrations that could interfere with measurements.

Current probe-based biological sensors have a resolution of about 20 nanometers.

“Twenty nanometers is about the size of 200 atoms, so if you are scanning for a particular molecule, it may be hard to find,” Clark said. “With our design, the higher atomic-scale resolution should make it easier to find.”

Properly using such devices requires engineers to know precisely how much force is being applied to comb drive sensors and how far they are moving. The new design is based on a technology created by Clark called electro micro metrology, which enables engineers to determine the precise displacement and force that’s being applied to, or by, a comb drive. The Purdue researcher is able to measure this force by comparing changes in electrical properties such as capacitance or voltage.

The findings are an extension of research to create an ultra-precise measuring system for devices having features on the size scale of nanometers. Clark has led research to create devices that “self-calibrate,” meaning they are able to precisely measure themselves. Such measuring methods and standards are needed to better understand and exploit nanometer-scale devices.

The size of the entire device is less than one millimeter, or a thousandth of a meter. The smallest feature size is about three micrometers, roughly one-thirtieth as wide as a human hair.

“You can make them smaller, though,” Clark said. “This is a proof of concept. The technology I’m developing should allow researchers to practically and efficiently extract dozens of geometric and material properties of their microdevices just by electronically probing changes in capacitance or voltage.”

In addition to finite element analysis, Clark used a simulation tool that he developed called Sugar.

“Sugar is fast and allows me to easily try out many design ideas,” he said. “After I narrow down to a particular design, I then use finite element analysis for fine-tuning. Finite element analysis is slow, but it is able to model subtle physical phenomena that Sugar doesn’t do as well.”

Clark’s research team is installing Sugar on the nanoHub this summer, making the tool available to other researchers. The nanoHub is operated by the Network for Computational Nanotechnology, funded by the National Science Foundation and housed at Purdue’s Birck Nanotechnology Center.

The researchers also are in the process of fabricating the devices at the Birck Nanotechnology Center.

by James Montgomery, News Editor, Solid State Technology

July 31, 2008 – KLA-Tencor’s proposed acquisition of Vistec Semiconductor Systems’ inspection business, while so far short on details (at least until the company’s quarterly results call later today), seems to follow KLAC’s recent strategy of scooping up smaller entities to bolster its technology portfolio and extend into new complementary areas.

Looking at the two company’s strengths, it appears the biggest motivation for this deal is probably in photomask metrology and inspection. Bob Johnson, research VP at Gartner, pointed out to SST that KLAC and Vistec MIE are the respective “900-lb gorillas” in the markets for mask inspect/review (>85% share of $463.7M) and metrology (>78% of $76.6M), and this deal is the logical way to span those related markets and “become the equipment provider of mask metrology and inspection.” VLSI Research’s Risto Puhakka also agreed that the significant impetus for this deal is in mask metrology.

A specific key to the deal might be emerging litho technologies. Johnson noted that Vistec has publicly stated that its registration/pattern placement technologies have been used to measure first-prototype EUV and nanoimprint lithography templates.

Meanwhile, both analysts pointed to some overlap between Vistec and KLA-Tencor in the wafer inspection sector (i.e. possible opportunity for improvements/upgrades), though it’s not certain to what extent the technologies could be merged to pose a bigger threat to someone like Rudolph Technologies. VLSI’s Puhakka pegged KLA-Tencor’s process diagnostics equipment sales (including reticle inspection) at $2.3B in 2007, while Vistec tallied just $100M as the fifth-largest supplier in that segment. Johnson noted that Vistec’s systems sport a newer design than the KLAC Viper.

Such a move is certainly in KLA-Tencor’s recent history of behavior, having done similar deals to get into sectors and technologies it didn’t have: bare wafer manufacturing (ADE in early 2006), process control metrology (Therma-Wave on the cheap in Jan. 2007), and vision capabilities (ICOS Vision Systems in Feb. 2008).

As to why Vistec would want to cleave off its inspection business? The usual canned response probably applies here, that the smaller participant in M&A wants to achieve better economies-of-scale and minimize costs/risks in an increasingly competitive/expensive industry. But the issue might be more accurately phrased as why Vistec’s owners — Golden Gate Capital, aka private equity — would want to do it. Private-equity owners’ ultimate endgame is to buy a company, take it private and clean it up, and resell for a profit — often with expectations that are well beyond today’s growth projections for the semiconductor equipment industry, Johnson noted. Minus the MEI business, what seems to remain as Vistec is some e-beam lithography technology, but nowhere near the revenue scale of MEI, Johnson noted.

Under the deal (no financial details were disclosed), KLAC will take on Vistec’s microelectronic inspection equipment (MIE) business based in Weilburg, Germany, one of three Vistec business units. In a PR, KLA-Tencor’s CEO Rick Wallace noted that the Vistec unit provides “an opportunity for growth into new segments of the mask and wafer markets,” notably its mask registration measurement system. For Vistec’s part, hitching to KLAC’s wagon is a means “to accelerate our advanced technology development,” noted Gerhard Ruppik, the business unit’s GM. — J.M.

Presenters at the AVS Northern California Chapter of the West Coast Junction Technology Group Meeting (WCJTG), told SST‘s senior technical editor Debra Vogler about their research and their presentations.

 

Susan Felch, principal member of the technical staff, Spansion: “For 32nm USJs, we’re going to need to go to millisecond anneal (MSA)-only, however there are various integration issues/ compatibilities that need to be dealt with that all have their process windows. For example, we need to be compatible with the HK+MG stack if embedded Si-Ge is going to be used — that places limitations on how high the temperature can go. On the other hand, in terms of getting the activation that’s required and the defect annihilation so that we get low leakage — that requires going to higher temperature. So for different customers’ integration schemes and device structure, they’re going to have to find a proper process window where they can get all of the benefits and compatibilities with that MSA.”

Jeff Hebb, VP of product marketing, Ultratech: “We have a long wavelength laser [in Ultratech’s LSA system] and we come in at a certain angle and polarization that makes the wafer very uniform to the laser. That allows us to do these processes without using any coatings or absorber layers. And also, with the LSA system, you can vary the dwell time easily and go to low dwell times. As far as extending LSA to 32nm and beyond — we looked at stress techniques, especially SiGe: going to the low dwell time is critical so you don’t end up with excessive wafer warpage or stress defects. And we also looked at HK+MG and showed how pattern independence still holds true — it still works for HK+MG integration schemes. And in a recent IBM paper (VLSI Symposium 2008), it was shown that LSA didn’t have negative effects. In a couple of papers from Freescale Semiconductor — they used LSA to do a high-k annealing to improve the properties of a dielectric. And lastly — extending beyond 32nm with alternate device structures — we’ve already demonstrated that we have good results with ultrathin SOI — so we think there shouldn’t be any issues with FinFETs. We think LSA is easily extendible to 32nm.”

Alex Salnik, principal scientist, KLA-Tencor: [Summarizing new developments on projects being done jointly with IMEC] “We have two on-going projects with IMEC. One is related to the correlation of the micro-uniformity maps to the actual device performance. IMEC has the capability of doing that — they can obtain the maps and follow the micro non-uniformities and correlate them if possible. That will provide the important early-stage detection of such defects. The second project with IMEC is related to carrier depth profiling as a potential replacement for SIMS. We started with a simple, diffuse, box-like profile, seeing if we can reconstruct the profile using our technology. We’ve already been working on this for one year, we expect that soon, we’ll be ready to show whether it’s really a solution.”

John Borland, founder, J.O.B. Technologies, member of SST‘s Editorial Advisory Board: “I talked abut reducing device variability and process variability. The key is having rapid process optimization metrology that allows you to connect any variation in both implant and annealing so that the combination will give you good device uniformity [see “32nm node USJ formation using rapid process optimization metrology”, SST July 2008, pp 38-47). Additionally, just using Rs and Xj can be very misleading when there’s no diffusion because the pre-amorphization (PAI) to improve activation will lead to leakage, and therefore EOR damage and poor quality junctions. So that’s why measuring junction quality is very important, otherwise you optimize the wrong process.” — D.V.

July 15, 2008 — Park Systems (Santa Clara, CA) says its new line of fully automated Atomic Force Microscopes (AFMs) is designed to help increase production yields by providing the critical angle measurements required by storage and semiconductor manufacturers. The series, which includes the XE-3DM, XE-3DM and XE-Wafer, is being demonstrated this week at Semicon West in San Francisco, CA.

The XE-3DM provides automatic data acquisitionand analysis of trench and overhang profiles, sidewall roughness and imaging, and critical angle measurements. Its unique non-orthogonal “tilted” Z scanner and its ability to use sharp tips enable detailed characterization of undercut features as well as top surfaces. This, says Park Systems, produces metrological data not available using AFMs with coupled XY and Z scanners and specialized probes (for example, flared tips). And unlike electron microscopes, the XE-3DM provides non-destructive imaging of soft photoresist structures. The XE-3DM features automatic probe tip exchange, can accommodate both 200 mm and 300 mm wafers, and can be equipped with a front end module.

The XE-WAFER is a fully automated industrial tool designed specifically for in-line wafer inspection and metrology in semiconductor and hard disk drive fabrication facilities. It provides automatic data acquisition and analysis of surface roughness, trench width, depth and angles. The system is housed in an acoustic enclosure with a built-in anti vibration isolation system. It accommodates both 200 mm and 300 mm wafers and produces 100×100 ¼m scans, with 200×200 ¼m scans optional. The XE-WAFER, features superior accuracy and precision metrology, including high resolution and low gauge sigma value, to ensure repeatability and reproducibility.

The XE-CMP is a fully automated industrial in-line AFM providing high-aspect-ratio trench and Chemical Mechanical Polishing (CMP) metrology for wafers up to 300 mm. Designed specifically for semiconductor fabs, the XE-CMP provides automatic data acquisition and analysis of atomic force profilometry measurements for surface roughness, trench dimensions, sidewall slope, line width and microplanarity. Park System’s XE-CMP features a higher resolution than competitive AFMs, and a long-range sliding stage with linear translation and minimal vertical deviation. Like the XE-WAFER it promises an extremely low gage sigma value for repeatability and reproducibility.

“Until now the data storage and semiconductor industries have had a very limited choice of industrial-grade in-line inspection tools,” said Park Systems General Manager Dr. Sung Park. “For example, there have been no systems capable of measuring sidewall texture without destroying the sample. Our new XE family of industrial AFMs will help manufacturers increase production yields by providing reliable and repeatable metrology data.”

Late last fall, Park Systems introduced its XE-NSOM/Raman AFM, which promises “unsurpassed versatility” for advanced near-field scanning optical microscopy (NSOM) and Raman spectrometry experiments. And, Dr. Sung Park explained his company’s technology in a webcast (now available on demand) titled The Impact of Imaging Advancements.