Category Archives: Metrology

August 15, 2006 – Ultratech Inc., San Jose, CA, has officially acquired certain assets of Oraxion Inc., a maker of surface-metrology and stress-analysis equipment for semiconductor and related industries, finalizing a nonbinding letter of intent signed in early July.

With the deal, Ultratech aims to pair up Oraxion’s CGS-300 wafer inspection and metrology tool with its LSA100 laser spike anneal system, targeting processing for 65nm and below generation devices. “This acquisition allows us to create a fusion of specific technologies that will add significant value to our advanced-device processing systems,” stated Arthur Zafiropoulo, Ultratech chairman and CEO.

Formed in 2002, Oraxion sells wafer surface metrology and stress analysis equipment based on coherent gradient sensing technology developed at Caltech and MIT, which uses phase modulation of the signal to discern signal noise from patterning versus the local curvature information related to stress. The company’s flagship product, CGS-300, provides a 600,000-data-point map of the wafer surface slope, curvature and stress, with throughput of 15 wafers/hr. Traditional tools (e.g. diameter-scan, or interferometers) typically produce wafer maps using 300 data points on a blanket film at a throughput of 2 wafers/hr, the company claims.

Much of the Oraxion’s top leadership comes from Applied Materials. Last summer Oraxion hired CEO Bang Nguyen, with experience at NuTool and Applied Materials’ copper plating group, as well as COO Jon Sabol, former VP and GM of Asyst Technologies’ equipment and fab solutions businesses. Larry Lei, VP of engineering, also spent many years at Applied Materials, designing CVD chambers, etch chambers, compact CVD platform, integrated metrology, and advanced process control equipment.

Ultratech focuses on photolithography, particularly for IC packaging (e.g., gold and solder bump), as well as laser spike annealing technology.

Nova top execs step down


August 11, 2006

August 10, 2006 – Nova Measuring Instruments Ltd., Rehovoth, Israel, said that its two cofounders and top execs have stepped down, amid a transition into a new phase of growth.

Cofounder and president/CEO Giora Dishon has stepped down, along with cofounder and CTO/VP of technology Moshe Finarov. Both will remain directors. Appointed as the new president and CEO is Gabi Seligsohn, who has served as EVP for Nova’s global business management group since 2005, and was formerly president of Nova’s US subsidiary. Prior to joining the company eight years ago, Seligsohn held sales positions at Digital Equipment Corp.

Under Giora’s leadership during the past 13 years, the company pioneered integrated metrology and built an extensive patent portfolio to become a market leader in the segment, noted Micha Brunstein, chairman of Nova’s board of directors. However, Dishon indicated a transition is needed to best capitalize on opportunities based on several new products and an expanded market reach via recent acquisition of HyperNex. Seligsohn added that Nova’s bookings have increased for six consecutive quarters.

DuPont Expands in Taiwan


August 7, 2006

(August 7, 2006) WILMINGTON, DE &#151 DuPont Electronic Technologies established a Semiconductor Materials Technical Center in Taiwan’s Hsinchu Science Park, as part of its long-term growth plan. The Semiconductor Materials Technical Center is DuPont Taiwan Technical Center’s (DTTC’s) third Taiwan facility. The facility includes single wafer processing capability, analytical and metrology tools, and a semiconductor process technology research staff.

August 4, 2006 – Brion Technologies says it is expanding its management roster following “considerable” growth over the past year, to help support work with partners spanning design to manufacturing and wafer metrology. New hires include Neal Callan, senior director of product marketing, and Jim Burdorf, director of product engineering. In addition, Christian Desplat has been promoted to VP of worldwide sales and field operations, and Doug Burnham to director of US and Europe sales and field operations, both with over 20 years of semiconductor industry experience in sales, marketing, applications and research and development.

Brion also said that Tadahiro Takigawa, chairman of the board of wholly owned Japanese subsidiary Brion Technologies KK, would also assume the role of corporate executive for technology and business development for the entire company. Also, Paul Hsieh has been hired as director of Asia sales and field operations.

Callan, a 19-year industry veteran, was senior director of new business development at Applied Materials responsible for yield and DFM business opportunities. Prior to Applied Materials, Callan spent the majority of his career at LSI Logic in lithography-related positions. Burdof, who will oversee Brion’s OPC+ product engineering group, has held prior positions at Synopsys, KLA-Tencor, Micron, and Takumi, spanning OPC, reticle inspection, and photomask R&D. Both Desplat and Burnham have over 20 years of semiconductor industry experience in sales, marketing, applications and research and development.

August 1, 2006 – Therma-Wave Inc., Fremont, CA, said it has tacked on a year to its $15 million line of credit from SVB Silicon Valley Bank, which is now available to finance working capital needs through June 2008.

“This agreement complements and supports the broader progress we continue to make in terms of increasing our financial efficiencies, and reaffirms the strength of our partnership with Silicon Valley Bank,” stated Joe Passarello, SVP and CFO for Therma-Wave.

Quentin Falconer, senior relationship manager at Silicon Valley Bank, added that Therma-Wave’s expertise in precision metrology “represents the type of innovation that supports Silicon Valley’s next generation technologies.”

(July 28, 2006) LEUVEN, Belgium &#151 ICOS Vision Systems Corporation NV and IMEC, an independent research center for nanoelectronics and nanotechnology, will undertake a two-year joint exploration and development program (JEDP) into 3-D packaging inspection and metrology. The inspection solution supplier will provide technology and equipment for the research, which will be performed at IMEC’s laboratories and at ICOS Haasrode, a Belgian location.

July 27, 2006 – ICOS Vision Systems Corp. NV and European R&D center IMEC have agreed to collaborate on development of metrology methods targeting 3D packaging processes for ICs, including wafer-level packaging, flip-chip, systems-in-package, and microelectromechanical systems (MEMS).

The two-year Joint Exploration and Development Program will be closely linked to IMEC’s industrial affiliation program on 3D stacked ICs, which spans work with many leading industry IC suppliers. Research will be conducted at IMEC labs, with ICOS providing inspection and metrology technology and equipment.

“Packaging is becoming an increasingly important part of semiconductor manufacturing and we are expanding our research efforts in the packaging field, including a large research program on 3D packaging,” said Gilbert Declerck, CEO of IMEC. “We are delighted to work with ICOS on the advancements of the 3D packaging processes and the metrology tools that are needed.”

Click here to enlarge image

July 25, 2006 — FEI Co. of Hillsboro, Ore., announced on Monday that Don Kania has been named president, chief executive officer and a board member of the company. He is expected to start at FEI Co., which makes tools for nanoscale characterization and research, in mid August. The appointment marks the end of a search that began with the stepping down of previous CEO Vahe Sarkissian, who left FEI in April.

Kania, 51, was the president and chief operating officer of Woodbury, N.Y.-based Veeco Instruments Inc. Kania said on Tuesday that he was looking forward to moving west. He and his wife have wanted to settle on a small farm, he said, and Oregon is a good place for that.

For Kania, who had been with Veeco since 1998, nanotech offers its own version of fertile fields. “It’s early in its evolution and the promise of nanotechnology is that it will change how things work,” he said. “That is what is driving the convergence between the physicists’ view and the life scientists’ view of the world.”

Click here to enlarge image

He sees FEI’s place in that future as “providing fundamental tools for exploring everything from the structure of molecules to creating structures on that [molecular] scale.”

Before moving to Veeco, Kania held technical and management positions at Lawrence Livermore National Laboratory in California and Los Alamos National Laboratory in New Mexico. He holds B.S., M.S. and Ph.D. degrees in physics and engineering from the University of Michigan.

“Veeco is not planning on hiring a replacement, but will have the senior managers report directly to the CEO,” wrote W.R. Hambrecht analyst John Roy in a research note Tuesday morning.

FEI has been in merger or acquisition talks at least twice in recent years. At the end of 2002, a $1 billion deal to merge with Veeco fell through. More recently, FEI terminated discussions to be acquired by Carl Zeiss AG of Germany.

Both companies offer metrology equipment for measurement and imaging, but each focuses on different areas. Veeco specializes in atomic force microscopes for submicron surface profiling. FEI makes ion and electron beam tools for failure analysis, fab production process control and other tasks.

However, FEI does not seem to be on the block any longer. Roy, for one, wrote that he now expects the company to remain independent.

He and other analysts agree that now that the new CEO is in place, execution on the company’s plans is critical.

“As Kania knows the tool market well, we expect he will have no trouble relating to FEI Company’s customers,” Roy said. But, he added, “The role of CEO is something new to Dr. Kania, so it may take some time for him to begin running at full speed.”

For the full year 2006 he estimates $468 million in sales and earnings per share of 57 cents. The company reports earnings on Aug. 2.

New York-based JoAnne Feeney, a managing director and senior research analyst at FTN Midwest Securities Corp. said, “I think Don Kania helped Veeco overhaul Veeco operations. He’s likely to be a great asset to FEI as they move to operational effectiveness.”

As COO at Veeco, he had become quite familiar with the needs of execution to match technology but, surmised Feeney, perhaps he is more valuable at FEI. “He was likely to be underused at Veeco given the excellent capabilities of Veeco CEO Ed Braun,” she said.

July 25, 2006 – Veeco Instruments Inc. announced that it received an order during the second quarter of 2006 for its new NEXUS Physical Vapor Deposition (PVD) Multi-Target Sensor tool from a leading manufacturer of thin film magnetic heads. The system is used to deposit high-quality, extremely uniform, thin film multi-layer stacks and will be used by the customer to manufacture high areal density Tunneling Magneto-Resistive heads.

The company also said it received a record $17 million in second quarter 2006 orders for its automated AFMs. Customers placing orders included those from the semiconductor and data storage industries. In addition, the company said several photomask manufacturers have selected Veeco’s automated AFMs for metrology needs at 45nm and below. Applications for the tool include measurement of critical dimension & 3D metrology on a variety of mask materials (such as MoSi, chrome-on-glass, and resist), and for high-resolution defect review for photomask repair.

by Ed Korczynski, Senior Editor

Spanning the SEMICON West show floor revealed equipment providers’ merger progress, market strategies, and new and improved technology offerings ranging from metrology to wafer cleaning to liquid waste abatement.

Rudolph Technologies has drawn a bead on the macro-inspection market, laying claim to the #1 spot in metal metrology and backend macro-inspection, as well as the FEOL macro-inspection market (44% vs. 28% for KLA-Tencor, according to Gartner data), noted Ardy Johnson, VP of corporate marketing. “Macro-inspection is a hot niche, and we’re winning market share,” he said. August Technology’s product had resolution in the 2-10-microns range, so it can serve CMP, etch, and diffusion in addition to the traditional lithography inspection application. Adding edge-inspection and backside inspection to the same platform adds further capabilities — as much as 30% of killer-defects are located at the wafer edge. “Our general platform strategy is to go modular, so we can make available various capabilities,” Johnson noted. Rudolph is also removing some of the more premium features from ellipsometers originally developed for diffusion applications, to allow less-expensive metrology for more ubiquitous applications in its new S2000 and S3000 ellipsometers.

Nanometrics likewise touted the expected success of its in-progress merger with Accent Optical (expected to be completed by the end of this month), and claims that its new modular tool platform allows for “hot-swapping” of hardware modules to minimize downtime due to maintenance.

Many metrology platforms that used to do only spectroscopic ellipsometry now do scatterometry (aka optical digital profilometry, or “ODP”), since the major barrier to convert z-axis information (i.e., film thickness) into x/y-axis information (critical-dimension spacings) is the software modeling. However, not all software is created equal. “Pretty much anyone can measure a trapezoid of resist on blanket silicon, but our models provide exceptional accuracy for complex structures such as gate-spacers and deep vias,” explained Robert Monteverde, director of marketing for Timbre Technologies, a division of TEL. Timbre claims nearly 200 systems currently running at customers such as IBM, Quimonda, and TSMC.

Nearly a year after its announcement, the merger of Entegris and Mykrolis has been “a complete success,” according to president and CEO Gideon Argov, noting progress in achieving greater efficiencies such as reducing corporate floorspace by 36% through redundant facilities shutdowns. With critical cleans and other liquid-flow processes requiring lower-flows and higher temperatures, component suppliers are challenged to craft new value-added solutions. So, Entegris is now focusing on three process areas, all of which require high amounts of consumables in a fab: wet-etch and clean, photolithography, and CMP. Also, customers are now pulling Entegris into early R&D work on new technology node manufacturing processes. “When you look at lithography, we’re being called on by customers to work on EUV reticles. We’re already working on things that are three, four, five years out,” commented SVP and CTO John Goodman. “We’re a critical enabler.”

Applied Materials’ Tom St. Dennis presented the company’s latest results on strain engineering to enable the extension of planar CMOS transistor structures to the 32nm node. Transistor performance had been stagnating, but optimized strain in PMOS has allowed a 200% increase in mobility which translates into 85% increase in drive current. “Using the combination of SiGe and stressed nitride, we think we’ve got PMOS on track to 32nm,” he commented. Tensile strain research done in conjunction with the U. of Florida showed a linear relation between channel stress and mobility improvement with sufficient capability to produce NMOS transistors that meet ITRS specs.

Novellus introduced new versions of its Sabre electrofill as well as its Gamma dry-strip tools, and touted that SEMATECH’s ATDF will use its Vector and Sola tools to produce porous-low-k wafers for use in the development of consumables, components, and equipment. The new Sabre Extreme tool features improvements in membrane and edge-exclusion hardware sub-systems, and incorporates an electrofill chemistry co-developed with ATMI/Enthone for high-aspect-ratio filling of structures at the 45nm and 32nm nodes. Gamma Express features new chemistries for minimal silicon loss, and minimum contact area components such as cassettes and end-effectors to minimize particles added.

Swagelok showed its new CR-288 concentration monitor for wet chemistry, a small in-line component comparable in size to a valve or filter. With applications in bulk-chemical distribution, POU mixing, CMP slurry control, and monitoring of waste-streams, the sensor maintains 0.1% stability and <2 sec response time, allowing it to be used for real-time control applications.

Roy Housh of Swiss firm Synova announced the company’s first micro-machining center (MMC) in the US. Today, ~40% of the company’s sales are to the silicon manufacturing industry, where its laser edge-grind tool pays for itself in yield improvements in four months, the company claims. Another new application is in ink-jet head “slotting” to replace etching, dry lasers, or sandblasting. The wet laser provides a smaller heat-affected-zone, and allows for cost-effective manufacturing was well as rapid prototyping. Through the years, Synova has added green and UV laser sources to the previously established IR source; different frequencies provide advantages for different materials (e.g., IR for metals, green for III-Vs).

BOC-Edwards now provides liquid abatement applications for metals (electroless depositions, ECP, and Cu CMP wastes), acids (wet-etch, wet scrubbers with HF, etc.), and solids. The company has assembled a portfolio of technologies that are combined to solve applications-specific waste streams. For example, ion-exchange and nanofiltration sub-systems can concentrate dilute metal waste streams with volume reduction factors of 800:1-3200:1 for Cu CMP, and 110:1-440:1 for metal plating. The company’s forthcoming ERIX (electrically regenerated ion exchange) product, now in beta tests with expected production by 4Q06, transfers at least 7 kg/day of HF from abatement sump waste water to a concentrated form, with no chemicals added and no liquid phase discharge of solids. — E.K.