Category Archives: Metrology

July 10, 2006 – OnWafer Technologies, Pleasanton, CA, has acquired the plasma management division of Advanced Energy Industries Inc., including IP and technology-related assets, in a move to extend its position in plasma process control and diagnostics wireless metrology technology. Terms of the deal were not disclosed.

The deal centers on AE’s plasma sensor system that can be built onto a wafer surface to measure critical plasma characteristics as the wafer is etched, such as ion saturation, current, DC bias, and surface voltage. OnWafer already sells a version of its SensorWafer wireless sensors for precise, in situ temperature monitoring of plasma etch chambers, to accurately characterize production wafer thermal processes, as well as software to track and display statistical process control parameters for each process segment or step of interest.

“By integrating Advanced Energy’s novel sensor technology with our broad line of SensorWafer technology, software analytical tools, and automated sensor wafer delivery systems, we believe we can offer a more comprehensive process control solution to our customers-enabling them to meet the continued demands associated with plasma semiconductor processing,” stated Rod Browning, OnWafer CEO.

July 10, 2006 – Veeco Instruments Inc. of Woodbury, N.Y., announced the launch of its new Caliber low-cost, high-value scanning probe microscope (SPM).

The company says the Caliber is able to perform a variety of SPM research and industrial applications on samples of various sizes.

“Our new Caliber ‘mini-SPM’ provides a highly affordable, compact and flexible research solution for materials, surface sciences, and polymer studies,” said Jeannine Sargent, executive vice president of metrology & instrumentation, in a prepared statement.

“Furthermore, the Caliber’s attractive price point will make Veeco’s industry leading SPM technology attainable to a broader group of industrial researchers and makes an excellent entry-point SPM for new users in an educational setting or in emerging high-tech growth markets such as China and India.”

The Caliber’s open-platform, closed-loop design is intended to allow researchers to customize hardware and optimize electronics for application versatility. Other features include real-time software with line-by line analysis, oscilloscope, FFT, and leveling functions.

July 6, 2006 – Ultratech Inc., a supplier of lithography and laser-processing systems used to manufacture semiconductors and nanotechnology devices, announced that it has entered into a non-binding letter of intent to purchase the assets of Oraxion Inc.

The transaction is subject to the negotiation of definitive agreements and other standard conditions. Oraxion makes capital equipment for surface metrology and stress analysis for the semiconductor industry. Ultratech said it believes the transaction will prove to be an important component of its strategic growth efforts.

by Dr. Paula Doe, Contributing Editor

The Technology Innovation Showcase at SEMICON West spotlights companies that are working on innovations across the chipmaking process line. Among this year’s crop are a Swiss company controlling the beer flow at the World Cup stadium that thinks controlling slurry flow will be less demanding, and a trio of small companies among the crowd of metrology startups that are pushing radical new approaches to thin film metrology, claiming significantly better results from massive numbers of fast measurements, ultra-short wavelength light sources, or inductive instead of optical systems. Meanwhile, Cabot Microelectronics is touting a new tungsten slurry for better yields at 45nm.

Digmesa, from Ipsach, Switzerland, is a major supplier of mechanical flow sensors for coffee makers and beer dispensers for the likes of Starbucks and the World Cup stadium in Munich. Now, it’s also entering the semiconductor market with an ultrasonic flow sensor that avoids the usual turbulence by using a straight flow path, instead of the conventional U- or Z-shaped collinear system. The system instead uses a structure rather like a fish ladder, and mirrors to create a diagonal path within a rectangular measuring section, in a one-piece PFA body, for what the company claims is higher accuracy at the significantly lower cost of under $1000. First application will likely be CMP slurry. “The accuracy and linearity in the semiconductor market is far below that in the beer market,” said general manager Volker Liedtke. “The beer market is much more accurate. We wondered, why is the semiconductor market satisfied so fast?”

The unit has been beta tested, and company plans to produce 20-50 units after SEMICON West for user feedback on what sort of analytics should be added, with commercial production slated for some time in 2007. Liedtke says two major chipmakers have asked for exclusive rights, but his company has declined. “The semiconductor market for us is like a sport,” he notes. “We don’t rely on this market — it’s just to show that we’re the best in measurement.”

Several small companies all claim to have big improvements to offer in measuring ultrathin films, from widely varying new approaches. Filmetrics of San Diego, CA, says it can do much faster and cheaper spectral reflectance metrology by taking thousands of measurements and then disregarding most of them, instead of trying to precisely find and measure the one right spot. Instead of taking the time to match a microscope video to a file image and then align the stage with micron precision, president Scott Chalmers says Filmetrics goes in with a 400×400 matrix of measurement points over an entire 2x2mm region, roughly lines the region up within 100s of microns of the measurement site on a low-cost $1000 stage, takes data at all those points in a split-second flash, then moves on to the next measurement site, leaving a computer analysis to select out the right data points to use. First application has been in CMP. The company is now shipping a tabletop R&D model.

MultiMetrixs, meanwhile, wants to get away from optical processes altogether, aiming to measure multiple parameters of films and bare wafers with what it calls resonance sensor technology (RST). A multipoint sensor monitors how high frequency electromagnetic waves interact with metallic films across the wafer, at thousands of measurements per second. Any change in material properties induces a change in the properties of the virtual sensor/film oscillating circuit, which shifts the resonance pattern. Since the resonance parameters of the oscillating contour can be measured very accurately, the results are highly sensitive (some 100x higher then eddy current sensors), according to president Mark Kessel.

Founded by immigrants from the former Soviet Union, the Santa Clara, CA, company has built a prototype in-line metrology tool for instantaneous multipoint measurement of continuous film sheet resistance, resistivity, and uniformity. It’s been working on several development projects, including with CMP toolmakers on monitoring rate of film removal and end-point detection; solar companies on measuring metal on metal deposition; and a large IC maker on inspecting the wafer edge exclusion zone, and inspecting electrical adhesion of bumps to die.

“We’re working only on conductive materials now,” said Kessel, “but we can do dielectric films inspection too. We can see submerged particles underneath the dielectric film.”

Metrosol‘s approach is to use a shorter wavelength light source for its thin-film reflectometry system, leveraging the material’s optical response at vacuum ultraviolet (VUV) wavelengths for sensitivity and the measurement capability not possible at DUV wavelengths. The Austin, TX company targets measurement of ultrathin film thickness, of SiOxNy and high-k film composition, and of optical properties and fluid/window contamination for lithography.

Although the primary aim is to introduce new ideas from less well-known companies, the committee of volunteers that select the TIS showcased new technologies also picks a few innovations of interest from larger companies, to cover the whole landscape. This year that includes a new pair of tungsten slurries from Cabot Microelectronics for the 45nm node that use new abrasive materials to reportedly reduce erosion and defects by 80%, compared with the company’s own widely used current products. “Current products may not be extendable without a stepwise reduction in erosion and defects,” said David Li, global business manager. “And because of the diverging needs of our customers, we’re replacing one common product with two.”

One of those versions replaces the usual fumed silica abrasive with a softer colloidal particle, and is tunable for either tungsten or oxide. So after tungsten removal it can then be used for oxide buff on the same platen and pad, significantly saving on cost and time. The other version coats the fumed silica particles with a softer polymer etch inhibitor for a gentler removal process. Both reportedly minimize edge-over-edge erosion, or “fang,” and limit wafer erosion to less than 100?. Li says both products are in use in the early commercial stage, with many customers sampling and in qualification. — P.D.

July 3, 2006 – Ultratech Inc., San Jose, CA, a supplier of lithography and laser-processing systems, has entered into a nonbinding letter of intent to purchase the assets of Oraxion Inc., a startup developer of wafer stress metrology tools. Financial terms of the deal, which is subject to “the negotiation of definitive agreements and other standard conditions,” were not disclosed.

Formed in 2002, Oraxion sells wafer surface metrology and stress analysis equipment based on coherent gradient sensing technology developed at Caltech and MIT, which uses phase modulation of the signal to discern signal noise from patterning versus the local curvature information related to stress. The company’s flagship product, CGS-300, provides a 600,000-data-point map of the wafer surface slope, curvature and stress, with throughput of 15 wafers/hr, vs. other tools (e.g. diameter-scan, or interferometers) that typically produce wafer maps using 300 data points on a blanket film at a throughput of 2 wafers/hr, the company claims.

Much of the company’s top leadership comes from Applied Materials. Last summer Oraxion hired CEO Bang Nguyen, with experience at NuTool and Applied Materials’ copper plating group, as well as COO Jon Sabol, former VP and GM of Asyst Technologies’ equipment and fab solutions businesses. Larry Lei, VP of engineering, also spent many years at Applied Materials designing CVD chambers, etch chambers, compact CVD platform, integrated metrology, and advanced process control equipment.

Ultratech focuses on photolithography, particularly for IC packaging (e.g., gold and solder bump), as well as laser spike annealing technology.

June 19, 2006 – Entrepix Inc., Tempe, AZ, a provider of chemical mechanical polishing services for foundries and equipment providers, has launched a new suite of services and technologies targeting the “fab-light” manufacturing model.

Entrepix’s “CMP FastForward” lineup aims to help manufacturers of all levels address engineering and production requirements for CMP, including development projects up to qualification and ramp-up of new CMP lines. The targeted customer base includes companies just starting with CMP, and those already using it who can benefit from added capacity for manufacturing.

Advancing technologies and higher product volumes translate into an increasing number of polishes during the wafer fabrication process, as well as a complex array of materials to be considered, the company noted. “These factors drive demand for expert CMP services that help reduce implementation time and accelerate time-to-ramp,” said Tim Tobin, president and CEO of Entrepix, which is tripling its manufacturing capacity by year’s end, and increasing staff by 15%-20%.

The FastForward suite of services supports a “fab-light” or “IDM+” business model, whereby IDMs can outsource specific process steps and address unique needs, such as additional capacity or developing new process steps, without disrupting ongoing production. Entrepix also sells refurbished CMP and metrology equipment, and provides maintenance services.

The company was originally launched in 1998 as Total Fab Solutions, a refurbisher and service provider for IC manufacturing equipment. It launched a dedicated CMP outsourcing service in 2002. CEO Tobin and COO Steven Horowitz both hail from prior positions at Metron Technology (now a unit of Applied Materials), and many top execs also have backgrounds with Motorola/Freescale Semiconductor and Speedfam-IPEC.

June 19, 2006 – Therma-Wave Inc., Fremont, CA, a provider of process control metrology systems, says its independent accounting firm has cited the company as a “going concern” in its recent financial reports for the fiscal year ended in March, due to recurring net losses and negative cash flows. The company received a similar “going concern” warning a year ago.

In a recent 10-K filing with the SEC, Therma-Wave indicated it had net losses of $9.2 million, $6.8 million, and $18.1 million in its fiscal years 2006, 2005, and 2004, respectively. The company said it burned through $16.2 million in cash in the past year, and has accumulated deficit of $317.0 million. Figures for fiscal 2006 include nearly $9 million in proceeds from the sale of Therma-Wave’s CCD-i product line to TEL.

Also, according to the 10-K filing, Therma-Wave’s revenues slid 20% from the prior year to about $65.3 million. Its major customer was AMD, which accounted for 23% of the company’s sales in its just-ended fiscal 2006; Intel accounted for 12% of sales. A year ago, Therma-Wave’s top customers were TSMC (15% of sales), Intel (12%), and Samsung (10%).

June 16, 2006 – Cabot Microelectronics Corp. Aurora, IL, a developer of chemical mechanical planarization (CMP) polishing slurries, has agreed to purchase all the assets of QED Technologies Inc., a developer of polishing and metrology systems for high precision optics, in an effort to expand its “engineered surface finishes” lines outside the semiconductor market.

QED’s main product, “magneto-rheological finishing” (MRF) has particular use in precision optics, and can predictively improve both figure and surface finish simultaneously, the company claims. It has an installed base of more than 100 systems worldwide. QED also has developed metrology technology, “subaperture stitching interferometry,” which provides an accurate map of the optical surface being measured by interferometers. Future products are expected to extend the functionality to include aspheres and freeform shapes. Combined, the two products can achieve repeatable, efficient automation in producing precision optical surfaces, the company claims.

Financial terms of the deal are $19 million in cash, with eventual price of up to $23.5 million if the QED business achieves certain levels after two years. Cabot also will take on about $2 million in liabilities, and will record a pretax charge of approximately $2 million for writeoff of in-process R&D. QED posted about $12 million in sales in 2005 and “is presently a profitable business,” according to the two firms.

“The pervasive and growing precision optics market is placing increasing value on high quality surface finishes and high precision form factors. The industry has clearly articulated, unmet needs involving complex geometries, unique materials and pristine surface finish requirements,” stated William Noglows, chairman and CEO of Cabot Microelectronics. “We expect that the QED business will leverage CMC’s expertise in polishing chemistry to enhance the development of MRF consumables, and that our global infrastructure will accelerate QED’s entry into new markets.”

“Just as CMP is an enabling technology for the semiconductor industry, we believe our patented processes allow precision optics manufacturers to achieve greater performance and improved efficiency,” added QED president Don Golini. “We believe that combining the complementary strengths of QED and Cabot Microelectronics will present new and exciting solutions for the precision optics market, as well as new opportunities for the growth of our products and services.”

(June 16, 2006) MIGDAL HA’EMEK, Israel &#151 Camtek Ltd. announced they have received an order from Toshiba Corp. for multiple Falcon 830 bumped-wafer metrology systems. Toshiba will use the systems in their Oita, Japan, manufacturing plant for in-line bump inspection of 300-mm wafers.

(June 16, 2006) SANTA CLARA, CA &#151 Shah Capital Partners and August Capital announced the completion of an acquisition from Alliance Semiconductor. The former Analog and Mixed Signal Business Unit is now PulseCore Semiconductor, Inc.

June 8, 2006 – Veeco Instruments Inc. (Nasdaq: VECO) announced the introduction of its new automated Wyko NT3300 Optical Profiler with DMEMS, or dynamic MEMS, measurement option.

With an increase in the number of unique micro-devices being used in consumer products, the company said the MEMS industry requires metrology tools that can perform both static and dynamic characterization easily and rapidly. “Veeco’s automated NT3300 DMEMS system fills this need, enabling manufacturers to accelerate both product reliability and functional development while driving down costs,” said Jeannine Sargent, executive vice president and general manager of metrology and instrumentation, in a prepared statement.

The DMEMS system utilizes a proprietary stroboscopic illuminator and synchronization electronics package that permits the capture of a series of 3D measurement data, generating a video of the sample device as it cycles through its range of motion. MEMS-focused Wyko Vision software locates features of interest and performs a wide variety of detailed 3D analyses, from determining resonant frequency and shape/distortion to characterizing deflection and other key device parameters.

Other features of the Wyko NT3300 DMEMS optical profiler include angstrom-resolution measurement of features from 0.1 nm to 2 mm in height, a programmable X/Y stage and software for rapid measurement of large surface areas, the ability to ramp intensity to investigate materials with differing reflectivities, and tip/tilt built into the head to eliminate the need to reposition the probe for successive scans.