Category Archives: Metrology

March 7, 2001–San Jose, California–KLA-Tencor Corp. has introduced Precice, a production-worthy in-situ film thickness and end-point control system for copper CMP.

As a key component on the latest-generation of CMP systems, Precice enables chipmakers to achieve greater repeatability and accuracy in their copper CMP processes by automatically compensating for incoming variations on a wafer-to-wafer basis. By reducing the risk of process errors due to non-uniform polishing, Precice helps to speed the ramp of new copper processes and maximize copper yields.

Metal film thickness and uniformity can vary significantly from wafer to wafer following copper deposition. Chipmakers are faced with the challenge of compensating for these variances in order to achieve the desired polishing results for each wafer during CMP. Before the development of in-situ metrology, where a sensor is embedded into the CMP tool to take film thickness and uniformity measurements, wafers had to be taken to an off-line metrology tool to detect film variances in order to adjust the CMP process accordingly. Current in-situ “optical only” methods provide limited information that CMP engineers need in order to optimize their copper CMP processes. The major disadvantages of “optical only” systems are unreliable end pointing due to previous level pattern noise when polishing upper metal levels, and the inability to provide “real time” CMP removal rate and uniformity information.

“Precice incorporates several unique features to provide real-time, accurate film thickness measurements during CMP, and enables the CMP tool to dynamically adjust for film variations to ensure proper control of the CMP process at all times,” says Pete Nunan, vice president of technology development at KLA-Tencor. “This solution minimizes the yield-limiting defects that occur from over- or under-polishing wafers such as dishing, erosion, and metal residuals. Precice is sensitive enough to provide real-time measurements on a broad range of patterned wafers without recalibration. This is a critical requirement for foundries and semiconductor manufacturers that are fabricating many different IC designs as part of their business model.”

KLA-Tencor’s Precice system uses a combination of optical and eddy-current technologies that allow customers to run multiple steps within the CMP process with a high degree of repeatability. Unlike competing eddy-current technologies that only monitor relative changes in film thickness, Precice’s eddy-current probe provides accurate thickness measurements in real time–enabling customers to more rapidly polish the bulk thickness of copper first without fear of eroding the underlying layer. It also automatically compensates for temperature and pad-wear effects that occur during CMP, without which false measurements would occur.

Precice’s optical system is designed for high reliability in the harsh CMP environment. It uses a single-wavelength, multi-angle reflectometer, which provides more comprehensive data than competing single-angle laser reflectance systems. The reflectometer also eliminates false end-point reporting–a fatal error in volume production.

“We successfully developed Precice to address one of the most critical technology hurdles to bringing copper into full production,” says Nunan. “The fact that we have recently shipped a multi-million dollar order of Precice to a major CMP tool manufacturer is indicative of the system’s unique capabilities.”

Precice is the latest addition to KLA-Tencor’s process module control solution for copper (CuPMC ), and further rounds out the company’s advanced inspection and metrology capabilities.

March 1, 2001–Tomerdingen, Germany–Boin GmbH, a metrology software manufacturer for the semiconductor industry, has released a new software development kit for metrology equipment manufacturers. WAFERMAP-View.OCX for Win95/NT is a software development kit for both the semiconductor and disk drive industry, which offers the 7 different types of graphics of WAFERMAP for Win95/ NT as an ActiveX control.

WAFERMAP-View.OCX employs an object-oriented visual programming interface to create and modify wafer plots. It was developed to satisfy the analysis and visualization needs of metrology equipment manufacturers.

WAFERMAP-View.OCX allows software developpers to use Boin’s innovative techniques to develop their own data analysis and visualization tools. 1D, 2D, and 3D graphs and histograms can easily be integrated into existing applications. The product complies to ActiveX standard and has an easy to use programming interface. Example source codes in Visual Basic as well as online help are available.

OEM customers can benefit from WAFERMAP-View.OCX by quick and easy integration into metrology applications, and therefore save development time and costs.

A free evaluation copy of WAFERMAP-View.OCX can be downloaded from http://www.boin-gmbh.com.

February 22, 2001–San Jose, California–KLA-Tencor Corp. today introduced the Archer 10, the latest in its suite of optical metrology overlay tools. Incorporating several significant improvements in automation, throughput, productivity, and precision over previous generation systems, the Archer 10 is one of the industry’s most competitive cost-of-ownership overlay solutions for 300mm manufacturing at the sub-0.13-micron node.

“Samsung Corp. has selected KLA-Tencor’s new Archer 10 overlay metrology tool due to its superior throughput and precision,” says Dr. Park Choon, senior manager, PE Manufacturing Tech 1 Group Photo at Samsung’s Fab 1 location in Keihung, Korea. “We believe that this product will help us meet our 0.13-micron product ramp goals.”

As design rules approach 0.10-micron, overlay control becomes increasingly difficult to manage–driving the need for overlay metrology tools with extreme precision and accuracy. The use of extension techniques such as optical proximity correction and phase shifting, as well as processes such as chemical mechanical planarization, exacerbate this need as they can distort overlay targets, thereby making accurate overlay measurements difficult to obtain. In addition, the concurrent move to the larger 300mm wafer size is driving semiconductor equipment manufacturers to develop products with significant reductions in cost of ownership to reduce the costs of equipping 300mm fabs.

“Extreme accuracy is a fundamental requirement in overlay metrology tools to maintain tight control of the lithography process,” explains Scott Ashkenaz, vice president of strategic marketing for KLA-Tencor’s Lithography Module Solutions Group. “With a dominant share of the optical metrology market, we’ve leveraged our resultant expertise to design the Archer 10, the latest addition to KLA-Tencor’s Litho PMC solution. The Archer 10 provides the increased precision and accuracy that lithography process engineers need in order to control their overlay error budget for sub-0.13-micron device production.”

Designed for both current and future requirements, the Archer 10 features coherence probe metrology (CPM), which takes 3-D measurements of overlay targets, as compared to the 2-D measurements taken by other overlay metrology systems. The Archer 10 also incorporates new Adaptive Noise Reduction Algorithm (ANRA) focus methods, which enable overlay measurements over low contrast targets. Along with improved optic lenses, these enhancements provide up to a 30% increase in precision to within 2-nm.

KLA-Tencor will offer an upgrade package to the Archer 10 for its 5300 overlay tools by the second calendar quarter of 2001. Production shipments of the Archer 10 are expected to begin in the second calendar quarter of 2001. The Archer 10 will be demonstrated in KLA-Tencor?s booth, #848, at the SPIE Microlithography 2001 conference in the Santa Clara Convention Center on Feb. 27 and 28.

Boin, TePla sign OEM agreement


February 13, 2001

February 12, 2001–Tomerdingen, Germany–Boin GmbH, a metrology software manufacturer for the semiconductor industry, has entered into an OEM agreement with TePla AG, a manufacturer of metrology tools and low pressure plasma systems. TePla will use Boin’s WAFERMAP metrology software on its TWIN SC measurement tool to support the visualization and interpretation of measurement results.

“We are very proud to announce the cooperation with TePla AG,” says Dr. Manuela Boin, co-founder and general manager of Boin. “We are now close to the 10th customer milestone for OEM usage of WAFERMAP on metrology tools within the semiconductor industry. Our decision in early 1999 to focus on this market segment has proven successful. We are discussing OEM licensing agreements with a number of other metrology equipment manufacturers in the U.S., Europe, and Asia. Our goal is to set the standard for visualization of metrology data within the industry.”

FEB. 12 Jupiter, Florida–Photronics, Inc., a leading photomask supplier, announced today that it has signed a multi-system purchase agreement with Toshiba Machine Co., Ltd. for its EBM-3500 vector scanning electron beam photomask lithography system.

The first system will be installed in the Photronic’s facility in Hsinchu, Taiwan, to meet the increasing demands of sub-wavelength lithography requirements by customers outsourcing their advanced integrated circuit designs to the region’s wafer foundries. Additional systems will be installed in North America and Europe as semiconductor manufacturers in those regions move from the 130-nm technology node process development phase into early volume production. Financial terms were not disclosed.

Toshiba Machine’s EBM-3500 vector scan electron beam reticle lithography tool is currently the only photomask lithography system capable of meeting the semiconductor industry’s 130-nm technology node volume production requirements. Additionally, Photronics believes that its advanced processes will enable Toshiba Machine’s platform to be extended down to the 100-nm technology node.

The EBM-3500 uses a multi-pass writing strategy that supports a minimum address unit of 1.25 nm. The tool’s 50kV acceleration voltage, variable shaped beam, and real-time proximity effect correction capabilities combine to provide Photronics with a unique high resolution, high throughput solution for the 130-nm technologies now being introduced at leading-edge semiconductor manufacturing facilities around the world.

Supporting Toshiba Machine’s systems will be state-of-the-art dry etch tools from Applied Materials, inspection systems from KLA-Tencor, reticle repair systems from FEI, and metrology tools from Leica. These tools are being installed because of their technological capabilities in maximizing the performance of Photronics’ new Toshiba Machine’s EBM-3500 systems.

Corning buys Tropel


January 23, 2001

Corning, New York–Corning Inc. announced today that it has reached an agreement to acquire 100% of the stock of Tropel Corp., a Fairport, NY-based world leader in precision optics and metrology instruments for the semiconductor industry, in a transaction valued at approximately $190 million, based on the closing price of Corning common stock on January 22, 2001.

Under the terms of the acquisition, Corning will pay approximately $60 million in cash and 1.95 million shares of Corning common stock. The company expects the acquisition to be completed by the end of the first quarter of 2001, pending customary regulatory approval. The investment is expected to be neutral to Corning’s 2001 pro forma earnings and accretive in 2002.

Tropel will become a wholly owned subsidiary of Corning Inc., operating as a unit of the company’s semiconductor materials business within its Specialty Materials division. John Bruning will continue as president and CEO of the business, to be known as Corning Tropel.

“Corning is very pleased to be able to combine our leading material expertise with Tropel’s knowledge of precision optical subsystems for the microlithography industry,” says Jim Steiner, vice president and general manager of Corning’s Specialty Materials division. “We are especially pleased to have John Bruning, a well recognized industry leader join our management team.”

Tropel’s product lines of high performance optical components, subsystems, and optical metrology instruments, specially designed and manufactured for wafer, photomask, and semiconductor equipment suppliers will complement Corning’s current portfolio of microlithography optical materials and allow the company to expand its commitment to the increasingly important semiconductor industry.

With the first production 300mm fabs scheduled for ramp up next year, chipmakers want tools to arrive at the fab site automation-friendly, though full supplier compliance to new 300mm software standards is unclear, say participants of a recent workshop on 300mm software requirements for automated manufacturing in Austin, TX.

In general, chipmakers are demanding compliance to SEMI’s E84, E87, and E90 standards by the end of the year; suppliers have until 2Q to bring up E40 process job and E94 control job standards. E84 provides low-level “hand-shaking” handoff with automated material handling systems (AMHS) robots; E87 provides coordination with a fab’s manufacturing execution system; and E90 provides substrate tracking. Several chipmakers have even more aggressive compliance deadlines, in some cases to match more aggressive 300mm fab plans. According to presentations by IBM, Texas Instruments, and other chipmakers at the workshop, automation interfaces must be in place and in compliance to the SEMI standards at the time of tool delivery. Together, the standards are considered key to the ramping of 300mm fabs to full productivity.

With the deadlines for compliance to these 300mm automation standards looming, metrology system supplier Therma-Wave, Fremont, CA, says it has opted for an off-the-shelf automation interface software package from GW Associates rather than developing its own version in-house.

The two firms recently completed a seven-week project to integrate GW’s connectivity software — GWconX300 — into Therma-Wave’s Opti-Probe 5340 thin film measurement system and validate operability with a third-party tester, said GW president Jack Ghiselli.

The software package allows Therma-Wave’s system to interface with the AMHS that will be deployed in 300mm fabs. Therma-Wave will incorporate GWconX300 in all of its 300mm system offerings. GWconX300 is compliant to SEMI’s E84, E87, and E39 standards. E39 — a standard for a database that supports 300mm factory automation standards — provides object services.

Ghiselli, also co-chair of a SEMI committee for SECS/GEM and related 300mm automation standards, says tool suppliers are facing a “buy vs. make” question, and notes that the 300mm ramp could be paced by “getting 50-60 types of equipment to be able to interface” to a fab’s automation system.

While Ghiselli is pitching an off-the-shelf package — GWconX300 was in development for three years — a number of tool suppliers –including leaders Applied Materials, ASM Lithography, and Tokyo Electron — are planning in-house efforts to meet software automation standards. In its presentation at the 300mm software workshop, Applied said it expected to be in compliance with E40, E84, E87, and E94 this fall, and plans to meet the E90 standard by January. Therma-Wave said it worked with GW in part because it wanted to stay focused on its core competencies; keeping up with software maintenance and changes is also an issue, said a company spokesman. “These [standards] are living documents,” he said.

GWconX300 will incorporate additional standards in the coming months, with E90 substrate tracking in place by the end of the year and E40/E94 in place by 2Q01. Ghiselli says other tool suppliers are in line for the GWconX300 package, and as a long-time supplier of SECS/GEM software, says GW is in negotiations with a number of tool suppliers for the new offering.

By Christine Lunday, SST News Editor

FREMONT, Calif.—Sept. 7, 2000—Therma-Wave, Inc., a manufacturer of process control metrology systems used in the manufacture of semiconductors, today reported that orders for the first two months of the second quarter of fiscal year 2001 have already exceeded the record $61.9 million mark of the first quarter ended June 30, 2000.

By Jeanne Graham

Step 8: Package Inspection


September 1, 2000

With the trend toward smaller packages and tighter tolerances, the role of total package inspection is becoming more vital to the assurance of final product quality. At the same time, the drive for cost reduction and flexibility dictates that all inspection requirements be satisfied by a single, integrated, high-throughput inspection platform.

BY GARY DAWSON

Click here to enlarge image

As electronics manufacturing moved to surface mount technology (SMT), more stringent tolerances were imposed on the mechanical outlines of fragile, fine-pitch surface mount devices (SMDs) than ever had existed with their through-hole predecessors. To ensure reliable solder joints, every lead on an SMD had to fall within tight positional tolerances in the x, y and z axes (Figure 1). Thus, the need for final mechanical quality assurance (QA) inspection in three-dimensional space arose. To provide the necessary comprehensive verification of physical device quality, stand-alone inspection systems are placed strategically at the end of the package assembly and test process lines (Figure 2). These inspection systems are designed to ensure that only products in compliance with all mechanical outline specifications are shipped to end users. Furthermore, these machines must provide accurate and reliable metrology, mark inspection and package defect inspection, while keeping pace with today's ever-increasing throughput demands.

Final QA

While visual inspection can be, and often is, performed in upstream processes to identify trends and point to corrective action, the last step in the manufacturing and test line always should include a final QA inspection to guarantee that all products meet dimensional tolerances and customer-imposed cosmetic criteria. Even a manufacturing process line that is in control at one point can exhibit drift or sudden changes, underscoring the need for a final QA safety net. Because every process step is a potential source for damage, final QA should occur as the last step, with no additional handling thereafter.


Figure 1. Common defects found in leaded devices.
Click here to enlarge image

The key differentiation between final QA and process monitoring is that QA, which is performed at the end of the line, must be more discerning because it is the last chance to weed out defective products. Additionally, the final QA check must be capable of catching all forms of defects, whereas process monitoring only needs to recognize gross trends or shifts within a particular process. Although they can be placed at the end of the line, tools originally intended for process monitoring seldom are suitable for the more demanding responsibility of QA. Because automated vision tools used for QA purposes are more discriminating, they too can be implemented upstream for process monitoring, but this typically is considered impractical and unnecessary; lower-cost, less-capable vision modules usually occupy these upstream positions adequately.

100-percent Inspection vs. Sampling

The supposed advantage of sampling lies in a perceived cost savings because of the smaller number of machines required to inspect a given production volume of parts. However, as a true QA function, final inspection requires that each part be checked meticulously to guarantee the quality of all parts shipped. Sampling, on the other hand, uses a statistical analysis better suited to process steps where quality assurance is not the primary goal. If sampling were used for final QA, there inevitably would be some defective products escaping the plant undetected, resulting in detrimental consequences if discovered by customers or when subsequent failures occur. Given the promise of product quality that 100-percent inspection provides, along with the extremely low per-pin cost associated with today's high-throughput, automated-inspection tools, the risks associated with QA sampling greatly outweigh any perceived cost savings, lending validity to the 100-percent inspection philosophy.

Device Handling Hazards

A number of upstream processes can inflict lead and package damage to fragile SMT devices. But device-handling itself -either within a given process or between successive process steps – often is the primary source of lead and package damage. As such, inspection systems that must handle the devices as part of the inspection process run the serious risk of introducing the very damage they ultimately have been designed to catch. To guard against the possibility of introducing post-inspection damage – after devices already have been declared “good” – some automated inspection tools perform the QA verification process without removing the devices from their protective trays. This in-tray inspection technique thereby avoids the risks associated with unnecessary device-handling prior to shipment.

The Components of Total Package Inspection

Total package inspection for final QA at end-of-line consists of metrology, package-defect inspection, device orientation and mark inspection. Metrology is the exact science of dimensional measurement that is easily quantifiable through gage studies, making it “specification friendly.” Device orientation is simply a go/no-go verdict that verifies proper part orientation. On the other hand, package-defect and mark inspections are far more subjective than metrology in that the defect modes are not always specified according to size and often do not correspond to specific geometric shapes.

Metrology: The Core of Mechanical QA

The most important aspect of any inspection system is its ability to measure precisely and report dimensional criteria in accordance with JEDEC or company internal mechanical outlines. To quantify a given vision system's ability to perform such tasks, gage repeatability and reproducibility (GR&R) and accuracy tests routinely are performed. GR&R studies reveal in terms of percentage – the lower, the better – the ratio of the distribution, or spread, of measurements for a specified measurement tolerance. An individual GR&R percentage is derived for each measurement parameter with respect to the tolerance for that parameter. For example, if a measurement tool exhibits a 3s spread of 0.3 mils for a 3 mil coplanarity tolerance, then the GR&R percentage for coplanarity would be 0.3 ÷ 3.0, or 10 percent. Most metrology tools are held to GR&R percentages of > 10 percent for all measurement criteria to be deemed “capable.”


Figure 2. End-of-line package inspection captures the broad range of defects that may occur throughout the line.
Click here to enlarge image

Accuracy, on the other hand, refers to a tool's ability to measure to a known standard. This differs from GR&R in that a measurement tool can possess an excellent GR&R percentage (implying consistency of measurements) yet consistently report the wrong values. To validate accuracy, a specially designed “golden device” – a precision-machined unit made to closely resemble the physical outline of the family of devices it is intended to represent – often is used. However, provisions must be made to ensure that the golden device is robust enough to endure the hazards of operator handling. Measurements then are made and documented on the golden device using a NIST-certified metrology instrument, which is typically more accurate by an order of magnitude than the tool that the golden device is intended to validate. The golden unit now is certified to a NIST-traceable standard and is ready for use.

Package Visual Inspection (PVI)

While metrology may be at the heart of any QA inspection system, package-defect detection is an equally important component in total package inspection. Cracks, chips, voids, contamination and other non-metrology defects resulting from the assembly and test processes also are cause for rejection, even though they are not necessarily included within JEDEC specifications and standards. Some of these defects are objectionable for purely aesthetic reasons and pose no real threat to reliability; others, such as cracks in the package or substrate, may result in catastrophic device failure during the surface mount process.


Figure 3. Major components of cost-of-ownership.
Click here to enlarge image

The growing popularity of automated PVI stems from the common goal of many device manufacturers to eliminate manual inspection. Equipment costs, floor space and required operators can be reduced dramatically (resulting in far better inspection cost-of-ownership [ICOO]) by offering PVI on the same platform as metrology. The vision module(s) responsible for PVI (two are required for both top- and bottom-side device inspection) typically rely on camera-based vision, which lends itself well to non-metrology defects. Paramount to the success of PVI is the illumination technique that accentuates defects to make them obvious and easy to capture by the camera for subsequent comparison to a known good model. Certain applications may call for multiple image acquisitions using different lighting techniques, or for subdividing a single package into smaller pieces to increase resolution and to expose the broad spectrum of defect types and sizes. The two most common lighting techniques are on-axis (bright field illumination) to expose defects that exhibit variations in reflectivity, and off-axis (dark field illumination) to reveal defects that differ in height.

Device Orientation and Mark Inspection

Ensuring that devices are oriented properly is a relatively easy task for a camera-based vision system. However, orientation is nonetheless critical, because an incorrectly rotated device in tray or tape can yield devastating consequences when placed on a printed circuit board. Using the same vision module that affords topside PVI, both part orientation and mark inspection can be performed concurrently with PVI. As with PVI, known good-mark models are trained as a basis for pixel-based comparison with subsequent images from parts with unknown mark status. Sophisticated algorithms look for mark position, contrast, overall mark and individual character quality and other defects associated with legibility. In addition, if a part carries a 2-D symbology code (such as data matrix) used for individual part traceability, that code also can be read during the inspection process.

Inspection Cost-of-Ownership

An industry-accepted cost-of-ownership model for inspection equipment is not the same as that used for process equipment. Two additional components have been added that reflect the unique costs associated with over-rejection (false alarms) and under-rejection (escapes) of revenue parts during the inspection process (Figure 3). These additional costs, which factor heavily into the ICOO model, can eclipse the more traditional costs that are common to both process and inspection equipment. More accurate and repeatable metrology tools have the important benefit of yielding better measurements with greater certainty. This, in turn, equates to substantial cost savings over time by permitting smaller guardbands to be implemented at the threshold of rejection.


Figure 4. System accuracy vs. over-rejection.
Click here to enlarge image

Guardbanding is a common practice by which the measurement uncertainty of the metrology tool is subtracted from the rejection threshold value in order to safeguard against potentially bad products being declared good because of the tool's inherent inability to measure perfectly and consistently (Figure 4). However, guardbanding actually creates over-rejection while eliminating the far worse condition of under-rejection. Through routine guardbanding, the potentially devastating consequences of shipping marginally bad products are averted in favor of knowingly scrapping some good products instead. More capable metrology tools can minimize these losses linked to over-rejection by affording better measurement certainty that, in turn, permits reduced guardbanding, ultimately resulting in less over-rejection.

Machine capability analyses are rigorous tests that help to qualify an inspection tool's capability via accuracy and GR&R testing. Clearly, the more capable metrology tool is always advantageous to the inspection process and eventually justifies, through the ICOO model, any price premium paid over lesser tools.

System Flexibility and Adaptability

Contract assembly and test houses must support such a broad spectrum of part types in-house at any given time, and face such extraordinary time-to-market pressures, that equipment flexibility is of paramount importance in assuring quick turnaround of products. And, because final QA is the last step before revenue shipment, there is a great deal of pressure to avoid delays in the process at this point. Therefore, inspection-tool flexibility and adaptability – particularly with regard to programming new form factors, quick part and lot changeover, as well as the ability to adapt instantly to new families of parts – are often crucial advantages.


Figure 5. Laser-based vision provides detailed 3-D measurements of critical device parameters.
Click here to enlarge image

Certain vision approaches are inherently more flexible and adaptable than others in that they avoid barriers that might otherwise preclude the inspection of some parameters on certain part types. Laser-based vision, in particular, is very well-suited to metrology because of its superior measurement accuracy and adaptability (Figure 5). Camera-based vision, on the other hand, is extremely adept at verifying device orientation, performing mark inspection and finding package defects. Ideally, a total package inspection system should offer the best of all vision techniques on a single platform. In this way, the appropriate vision solution can be employed to fit each application – not vice versa, which always results in a compromise to the QA function.

Conclusion

With the continual trend toward smaller packages and commensurately smaller tolerances, the role of total package inspection is becoming increasingly more vital to the assurance of final product quality. At the same time, the drive for cost reduction and flexibility dictates that all inspection requirements be satisfied by a single, integrated, high-throughput inspection platform aimed at achieving the best ICOO.

The challenge that inevitably lies ahead for total package inspection is to deliver vision solutions capable of furnishing the required levels of measurement precision and defect resolution while meeting ever-growing throughput demands. One thing is certain: Total package inspection no longer is a QA consideration – it has become an absolute necessity to remain competitive in an environment where superior product quality often is the key advantage.

GARY DAWSON, product marketing manager, can be contacted at RVSI, 425 Rabro Drive East, Hauppauge, NY 11788; 631-273-9700; Fax: 631-273-1167; E-mail: [email protected].

AUSTIN, Texas—Aug. 18, 2000—Research consortium International SEMATECH today announced the launch of a Metrology/Yield Management Tool Initiative to develop and evaluate new measurement technologies for advanced semiconductor manufacturing processes.

By Michael Sciannamea