Category Archives: Metrology

IC Insights recently released its new Global Wafer Capacity 2016-2020 report that provides in-depth detail, analyses, and forecasts for IC industry capacity by wafer size, by process geometry, by region, and by product type through 2020.  In 2008, 300mm wafers took over as the industry’s primary wafer size in terms of total surface area used. Furthermore, the number of 300mm wafer fabrication facilities in operation continues to grow and is expected to reach 100 this year (Figure 1).

Some highlights regarding 300mm wafer fabs are shown below.

•    A couple fabs that were scheduled to open in 2013 were delayed until 2014.  That, in conjunction with the closure of two large 300mm fabs by ProMOS in 2013, caused the number of active volume-production 300mm fabs to decline for the first time in 2013.

•    At the end of 2015, there were 95 production-class IC fabs utilizing 300mm wafers (there are numerous R&D IC fabs and a few high-volume fabs that make “non-IC” products such as CMOS image sensors using 300mm wafers, but these are not included in the count).

•    Currently, there are eight 300mm wafer fabs scheduled to open in 2017, which would be the highest single-year increase since 2014 when nine 300mm fabs were added.

•    By the end of 2020 there are expected to be 22 more 300mm fabs in operation, bringing the total number of 300mm fabs used for IC fabrication to 117.  If 450mm wafers enter production, the peak number of 300mm fabs may be somewhere around 125.  For comparison, the highest number of volume-production 200mm wafer fabs in operation was 210 (in December of 2015 there were 148).

Today’s 300mm wafer fabs can be huge, but they are being equipped in a modular format, with each “module” generally having the capacity to process somewhere around 25K-45K wafers per month.  Each module is closely connected to nearby fab modules.  TSMC has perfected this modular approach, with its Fab 12, 14, and 15 sites being expanded in phases.

Figure 1

Figure 1

Development of 450mm wafer technology continues to progress toward production, albeit at a tempered pace. Since lithography is one of the biggest challenges in the 450mm wafer transition, ASM Lithography’s announcement in March 2014 that it would temporarily hold off on the development of equipment for 450mm wafers made some in the industry believe it was a signal that the transition would never happen.  ASML reported also that the decision to postpone its 450mm development program was made at the request of its customers.

IC Insights does not believe that ASML’s announcement, along with a couple other signs of a pause in 450mm development, means the 450mm wafer transition won’t happen, but they do indicate that the pilot production status for 450mm won’t be reached until probably 2019.  Volume production might start two to three years after that.

IC Insights’ Global Wafer Capacity 2016-2020—Detailed Analysis and Forecast of the IC Industry’s Wafer Fab Capacity report assesses the IC industry’s capacity by wafer size, minimum process geometry, technology type, geographic region, and by device type through 2020. The report includes detailed profiles of the companies with the greatest fab capacity and gives comprehensive specifications on existing wafer fab facilities.

Park Systems is sponsoring a webinar entitled Metrology Challenges and Opportunities presented by  Solid State Technology Magazine on April 14, 2016 at 10am PST. The webinar will address advanced materials used in semiconductor silicon wafer manufacturing and new device structures and designs under various stages of development. The presenter for the webinar is industry expert and SPIE Fellow, Dr. Alain Diebold, whose career includes cutting edge research on advanced metrology methods to improve nanoelectronics fabrication as Director of the Center for Nanoscale Metrology at CNSE.

According to the World Semiconductor Trade Statistics, the worldwide semiconductor market is forecasted to be up 0.3% to US$336 billion in 2016 and up another 3.1% to US$347 billion in 2017. Since 2007, Park has gained a reputation as the technology leader of nanoscale measurement and systems in both research and industry for the semiconductor and other industries and their impressive client list includes HarvardStanfordNASA, NIST, Micron, Imec, Seagate, Western Digital and IBM.

Park Systems provides the best quality AFM for Semiconductor microscopy for failure analysis and defect review, an integral part of the process of advancing semiconductor research and manufacturing. Park Smart ADR is the most advanced defect review solution available, featuring automatic target positioning without the need for labor intensive reference marks that often damage the sample. The Smart ADR process improves productivity by up to 1,000% compared to traditional defect review methods and offers up to 20x longer tip life thanks to Park’s groundbreaking True Non-Contact Mode AFM technology.

“AFM enables the determination of surface and sidewall roughness and feature line shape and is often used in conjunction with TEM, CD-SEM, and Scatterometry in Hybrid Metrology. The goal of Hybrid Metrology is to use the measurement information from multiple methods to improve 3D determination of feature shape and dimensions,” explains Dr. Alain Diebold, Interim Dean at the College of Nanoscale Sciences and Director of the SRC NRI INDEX Center.
Many of the new design challenges and opportunities will be presented in the webinar, showcasing the enhanced concepts under research and being commercialized. A critical role in the development and ongoing application of new device structures and materials is the advanced microscopy provided by world-leading Park Systems Atomic Force Microscopes, designed to meet the industry’s strict requirements for nanoscale accuracy.

“Our AFM technology is still unbeatable because of the high degree of accuracy and repeatability the non contact mode provides and because it is the only wafer fab AFM with automatic defect review,” stated Keibock Lee, Park Systems President. “Park’s fully automated Automatic Defect Review (ADR), designed specifically for the semiconductor industry, is the most advanced defect review systems available, providing identification and enabling a critical inline process to classify defect types and source their origin through high resolution 3D imaging.”

Much emphasis is being placed on new designs for more complex device structures and exploration of highly advanced new materials. This webinar will focus on some of the new device structures such as FinFETs and 3D stacking, new materials that are emerging and how they are proceeding towards future manufacturing. The industry continues to search for materials for transistors and interconnects. For example, a high dielectric constant “high K” material that is compatible with Ge channels are key to enabling the use of Ge channels. Thinner barrier layers for copper interconnects are another topic of research as well as the often mentioned replacement for copper itself.

“Lithography continues to drive a significant research effort. Quadruple patterning will replace double patterning. Double patterning (Self Aligned Double Patterning) refers to the use of oxide spacers on the side of lithographically patterned lines to double to line pattern density,” explains Dr. Diebold. “The space process can be applied multiple times. When the spacer process is applied twice, the pattern density is quadrupled. There are many variations of the use of multi-pattern methods.”

“Over the past several years, the industry has also investigated Directed Self Assembly of Block Co-Polymers as a means of increasing pattern density beyond that possible with traditional lithography. Research into EUV lithography also continues to be a critical topic,” adds Diebold.

Park NX-Wafer makes accurate, repeatable, and reproducible sub-Angstrom roughness measurements for the flattest substrates and wafers with minimized tip-to-tip variation. Park NX-Wafer delivers the industry’s lowest noise floor of less than 0.5 Å throughout the wafer area, combined with True Non-Contact Mode to achieve reliable measurements even for the long-range waviness measurement of scan sizes up to 100m x 100m.

The global semiconductor materials market decreased 1.5 percent in 2015 compared to 2014 while worldwide semiconductor revenues decreased 0.2 percent. The impact of exchange rate changes, coupled with lower overall semiconductor unit growth, contributed to the year-over-year revenue decline.

According to the SEMI Material Market Data Subscription, Total wafer fabrication materials and packaging materials were $24.1 billion and $19.3 billion, respectively. Comparable revenues for these segments in 2014 were $24.2 billion for wafer fabrication materials and $19.8 billion for packaging materials. The wafer fabrication materials segment decreased 1 percent year-over-year, while the packaging materials segment decreased 2 percent. However, if bonding wire were excluded from the packaging materials segment, the segment would have remained flat relative to last year. The continuing transition to copper-based bonding wire from gold is negatively impacting overall packaging materials revenues. The depreciation of the Yen further impacted the total materials market due to the importance of materials suppliers based in Japan.

For the sixth consecutive year, Taiwan was the largest consumer of semiconductor materials due to its large foundry and advanced packaging base, totaling $9.4 billion. Korea rose in the rankings to claim the second spot during the same time. Annual revenue growth was the strongest in the Korean and Chinese markets. The materials market in North America and Europe experienced nominal growth of 1 percent, while the materials markets in Taiwan, Rest of World and Japan contracted. (The ROW region is defined as Singapore, Malaysia, Philippines, other areas of Southeast Asia and smaller global markets.)

2014 and 2015 Regional Semiconductor Materials Markets (US$ Billions)

Region 2014 2015 % Change
Taiwan

9.60

9.41

-2%

South Korea

7.03

7.16

2%

Japan

7.01

6.57

-6%

Rest of World

6.39

6.05

-5%

China

6.01

6.12

2%

North America

5.00

5.04

1%

Europe

3.01

3.05

1%

Total

44.04

43.40

-1%

Source: SEMI, April 2016

Rudolph Technologies, Inc. today announced the availability of new, high-speed 3D metrology on its flagship NSX Series, a highly-flexible inspection and measurement platform for process development and control of die-level interconnects. Already in use by multiple customers worldwide, the NSX Series with high-speed 3D metrology is capable of both high-volume production monitoring and advanced process development.

“The new capability provides a 200-400 percent throughput improvement over our previous Wafer Scanner bump metrology system, and when paired with our Discover Software, provides a complete coplanarity solution for our customers,” said Scott Balak, Rudolph’s director, inspection product management. “With the increasing number of new packaging technologies being developed by foundries, outsourced assembly and test (OSAT) manufacturers, and integrated device manufacturers (IDMs), the flexibility and reliability of this new capability on the trusted NSX Series platform is especially valuable to customers seeking to move rapidly from pilot lines to production.”

Data is collected in seconds from millions of bumps and then analyzed by Rudolph’s Discover Software analysis database. Engineers gain unique insight into critical metrology applications, from both an individual bump point of view or holistically as a wafer, as part of a simultaneous product and process control solution.

“Manufacturers are looking for a more comprehensive and flexible process control solution that provides, not only inspection or bump data, but also usable analytical information about their processes,” said Mike Goodrich, vice president and general manager of Rudolph’s Process Control Group. “Our powerful Discover analysis software provides insight into the process that is otherwise unavailable to process control tool owners. The high-speed 3D bump metrology capability incorporates a three segment optical range, giving our customers the flexibility to control both smaller micro bumps and larger traditional solder bumps with a single inspection and metrology platform. When combined with Rudolph’s advanced automation capability, customers can measure thin and warped wafers without the extra expense of frame and tape mounting.”

Goodrich concluded, “We understand the importance of 3DIC and next-generation packaging processes and we have aggressively pursued development of this comprehensive 3D coplanarity solution to meet our customers’ needs for a cost efficient, multi-functional process control tool.”

Entegris, Inc. (Nasdaq:ENTG), a provider of yield-enhancing materials and solutions for advanced manufacturing processes, announced the appointment of Sue Lee as Senior Vice President, General Counsel and Secretary. Ms. Lee is assuming the role from Peter Walcott, who is retiring after a 35-year career with Entegris and its predecessor companies.

Sue Lee

Sue Lee

Most recently, Ms. Lee was general counsel and corporate secretary with CYREN, a network security firm. Prior to CYREN, she served as general counsel for Harmonix Music Systems, was vice president of business & legal affairs for MTV Networks, and was counsel at Genzyme Corporation. Prior to joining Genzyme, Ms. Lee worked at Cleary Gottlieb Steen & Hamilton in New York. Born in Taiwan, Ms. Lee received her bachelor’s degree magna cum laude from Harvard University and her J.D. from Harvard Law School.

Bertrand Loy, president and CEO of Entegris commented, “I am delighted to welcome Sue to the Entegris team. Sue brings us an impressive background of deep corporate legal expertise and broad experience with international technology companies.”

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $26.0 billion for the month of February 2016, a decrease of 3.2 percent compared to the previous month’s total of $26.9 billion and 6.2 percent lower than the February 2015 total of $27.7 billion. Sales into the Americas fell sharply, decreasing 19.3 percent year-to-year, while year-to-year sales into China increased 3.5 percent. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

Global semiconductor sales slipped somewhat in February, due to normal seasonal trends, demand softening, and unfavorable macroeconomic conditions,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Most regional markets have struggled to overcome these headwinds, and sales have dipped across the majority of semiconductor product categories.”

Regionally, sales decreased nearly across the board: China (-4.6 percent month-to-month/+3.5 percent year-to-year), Europe(-0.9 percent/-6.3 percent), Japan (-0.8 percent/-3.5 percent), Asia Pacific/All Other (-0.7 percent/-6.3 percent), and the Americas (-7.0 percent/-19.3 percent).

Sales also decreased across most major semiconductor product categories, with the notable exception of microprocessors, which increased year-to-year by 3.4 percent.

February 2016

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.41

5.03

-7.0%

Europe

2.72

2.70

-0.9%

Japan

2.49

2.46

-0.8%

China

8.42

8.03

-4.6%

Asia Pacific/All Other

7.85

7.80

-0.7%

Total

26.89

26.02

-3.2%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

6.23

5.03

-19.3%

Europe

2.88

2.70

-6.3%

Japan

2.55

2.46

-3.5%

China

7.76

8.03

3.5%

Asia Pacific/All Other

8.32

7.80

-6.3%

Total

27.74

26.02

-6.2%

Three-Month-Moving Average Sales

Market

Sep/Oct/Nov

Dec/Jan/Feb

% Change

Americas

6.07

5.03

-17.1%

Europe

2.93

2.70

-8.1%

Japan

2.68

2.46

-8.0%

China

8.67

8.03

-7.4%

Asia Pacific/All Other

8.53

7.80

-8.6%

Total

28.88

26.02

-9.9%