Category Archives: Metrology

June 22, 2012 — Semiconductor manufacturers will spend $2.3 billion in 2012 for flow control and treatment products, shows McIlvaine Company. Even more pure water is required as the line sizes on chips are decreasing. This aspect, coupled with the opportunity for water reuse, is creating new opportunities.

Also read: Semiconductors to use most ultrapure water in 2012

Table. World semiconductor flow control and treatment, 2012. These totals are based on extracts from six McIlvaine market reports.

Product

Revenues ($M)

Ultrapure Water Systems *

800

Pumps

149

Valves

449

Cross-flow Membranes

236

Cartridges

485

Sedimentation/Centrifugation

  50

Monitoring

140

Total

2,309

 *Less the other items listed separately below

 

Flow control and treatment products are used in semiconductor fabs to extract water from sources, purify it for use in chip cleaning, and other purposes, and to purify effluent prior to discharge. The water used in semiconductor processing must be extremely pure. Incoming water from a river source, or water already processed in a municipal drinking water plant, is subjected to granular media filters, cartridges, reverse osmosis, ion exchange and degasification, or other steps. The water is monitored for numerous parameters at parts per billion or trillion contamination levels.

The pumps and valves that process ultrapure water include special materials suited to the task, as are piping, tanks and other components of the ultrapure water system.

Environmentally conscious semiconductor fabs and fabs in water-scarce locations are reusing water where possible. Rinse water that was delivered to a tool but not used can undergo less stringent treatment than water that was used.

The semiconductor industry, including silicon photovoltaics, is outgrowing GDP thanks in part to photovoltaic production as well as mobile communications. Asia is the largest producer, and is forecast to widen the gap at the front. China is gaining on Korea, Taiwan and Japan as a major producer.

For more information, visit http://www.mcilvainecompany.com

Visit the Semiconductors Channel of Solid State Technology!

June 22, 2012 — North-America-based manufacturers of semiconductor fab equipment posted $1.61 billion in orders worldwide in May 2012, $1.54 billion in billings, and a 1.05 book-to-bill ratio, shows SEMI. 

The three-month average of worldwide bookings in May 2012, $1.61 billion, crept 0.6% above the final April 2012 level of $1.60 billion. May 2012 saw <1% decline year-over-year, down 0.7% from May 2011’s $1.62 billion in orders.

The three-month average of worldwide billings in May 2012, $1.54 billion, grew 5.3% over the final April 2012 level of $1.46 billion. May 2012 billings fell 8.0% from May 2011’s billings of $1.67 billion.

Chipmakers are adding capacity and process technologies to meet demand for chips in mobile applications — namely smart phones and tablets, said Denny McGuirk, president and CEO at SEMI. "Bookings a re at the highest levels since May 2011 and this is the fourth consecutive month that new orders have outpaced billings."

Table. The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings (3-mo. avg)

Bookings (3-mo.

avg)

Book-to-bill ratio

 

 

 

 

Dec 2011

1,300.0

1,102.9

0.85

Jan 2012

1,239.9

1,187.5

0.96

Feb 2012

1,322.8

1,336.9

1.01

March 2012

1,287.6

1,445.7

1.12

April 2012 (final)

1,458.7

1,602.8

1.10

May 2012 (prelim)

1,536.0

1,611.9

1.05

Source: SEMI June 2012

A book-to-bill of 1.05 means that $105 worth of orders were received for every $100 of product billed for the month.

Also read: Semiconductor fab tool makers see sequential increase in Q1

The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS).

SEMI is a global industry association serving the nano- and micro-electronic manufacturing supply chains. For more information, visit www.semi.org.

Visit the Semiconductors Channel of Solid State Technology!

June 21, 2012 – BUSINESS WIRE — Process control and inspection tool supplier Rudolph Technologies Inc. (NASDAQ:RTEC) acquired the assets of NanoPhotonics GmbH, adding inspection technology and an intellectual property (IP) portfolio to serve its advanced package inspection tool customers.

Rudolph plans to maintain and expand a technology center in Mainz, Germany, where NanoPhotonics is based, as operations are integrated into the company.

Rudolph gained all-surface inspection systems (wafer edge, backside and front side) for 200, 300, and 450mm wafers. The acquisition expands Rudolph’s offering into unpatterned wafer and mask blank inspection markets. Unpatterned wafer inspection is used for quality assurance and yield improvement at semiconductor manufacturers, silicon wafer manufacturers, and substrate suppliers for compound semiconductors. Mask blank systems are used by mask shops, manufacturers of process tool equipment, and mask blank manufacturers.

All-surface inspection will be critical in meeting the emerging requirements in advanced packaging markets, said Paul F. McLaughlin, chairman and CEO of Rudolph.

McLaughlin added, “Rudolph has extensive experience in the back-end manufacturing environment. We are taking NanoPhotonics’ sub-micron resolution capability and applying it to a market that we know very well.” In 2012, Rudolph sold its 1st back-end metrology MetaPULSE tool http://markets.financialcontent.com/pennwell.wafernews/news/read?GUID=20561207&Symbol=%24WNMEQ and in late 2011 reported a multi-system order for through-silicon via (TSV) metrology. http://www.electroiq.com/articles/ap/2011/10/rudolph-wins-tsv-inspection-systems-order.html

The acquisition is expected to be accretive within the first 12 months, adding approximately $2 million per quarter to Rudolph’s overall revenues. While terms were not disclosed, Rudolph noted that this all-cash transaction used <5% of its overall cash. McLaughlin emphasized that this is one of several planned steps Rudolph will take to expand its portfolio and drive its long-term growth strategies in both front- and back-end manufacturing environments.

Rudolph Technologies Inc. makes defect inspection, process control metrology, and data analysis systems and software used by semiconductor device manufacturers. Additional information can be found on the company’s web site at www.rudolphtech.com.

Visit the Semiconductors Channel of Solid State Technology!

June 21, 2012 – PRNewswire — Metrology system supplier MicroSense LLC reports an increase in orders for its magnetoresistive random access memory (MRAM) magnetic metrology systems. The metrology tools characterize the magnetic properties of multi-layer wafers used in the development and manufacturing of perpendicular MRAM.

MicroSense offers a new 300mm Polar Kerr (out-of-plane) MRAM metrology system, the KerrMapper (in-plane) tool and Vibrating Sample Magnetometers (VSM) for MRAM makers. The 300mm full-wafer non-contact magnetic metrology systems can be used for process control on perpendicular and in-plane MRAM.

Also read: Micron begins STT-MRAM dev partnership at A*STAR

While MicroSense shipped a number of gen-1 MRAM metrology tools since 2004, the MRAM industry did not scale up to high volumes until the development of  spin transfer torque MRAM (STT-MRAM), said Tom McNabb, president and COO of MicroSense. STT-MRAM’s ascent has resulted in a number of new MRAM tool orders for MicroSense, he said.

MicroSense is a leading manufacturer of magnetic metrology tools and Vibrating Sample Magnetometers (VSM), which are utilized in high-resolution metrology applications, such as hard disk drive disks & read/write head wafers, semiconductor wafers, and fundamental magnetic material characterization. MicroSense just acquired SigmaTech in June 2012. Learn more at www.microsense.net.

Visit the Semiconductors Channel of Solid State Technology!

June 20, 2012 – PRNewswire — Qcept Technologies Inc. has received more than 30 orders from 8 customers for its new ChemetriQ Inspection Services (Q-Services), which enable semiconductor manufacturers and equipment vendors to begin implementing non-visual defect (NVD) inspection programs tailored to their needs prior to purchasing a Qcept ChemetriQ NVD inspection system.

NVDs include sub-monolayer organic and metallic residues, process-induced charging, and other undesired surface non-uniformities that cannot be detected by conventional optical inspection equipment.

Customers send their substrates to Qcept, where an inspection scan is performed with its proprietary scanning Surface Potential Difference Imaging (SPDI) sensor technology. Data analysis and reporting are done relative to the customer’s experimental studies.

Q-Services can be used for traditional semiconductor substrates and non-wafer-shaped substrates, as well as sub-200mm wafers. Users include semiconductor device makers; infrared and CMOS image sensor (CIS) manufacturers; and wafer cleaning, plasma etch, plasma ash, and e-beam equipment companies using Q-Services to support their new product development programs and optimize their processes of record. 

DRS Technologies used Qcept’s Q-Services offering to study rinse margins on existing processes, identifying opportunities to reduce rinse times and chemical usage, as well as achieve cycle time savings, said Tom Ratcliffe, VP site manager of DRS Technologies Imaging and Targeting Solutions’ Texas facility.

"As we develop next-generation process capabilities for our CMOS image sensor products, it is important that we understand what surface non-uniformities and within-wafer charge variations, if any, may exist," said J.C. Hsieh, R&D senior director at VisEra Technologies Company Ltd. "Our existing inspection capabilities are focused on physical particle defects, which are blind to the surface conditions for both thin residues and charge.” VisEra used Q-Services to inspection the surface conditions of their wafers.

Qcept Technologies provides wafer inspection solutions for non-visual defect (NVD) detection in advanced semiconductor manufacturing. More information can be found at www.qceptech.com.

Visit the Semiconductors Channel of Solid State Technology!

June 20, 2012 — A major high-volume micro electro mechanical systems (MEMS) maker has purchased a FOGALE nanotech T-MAP DUAL 3D 200A metrology tool for its US manufacturing facility. The tool will be equipped with 2 load ports and will be fully automated.

The T-MAP DUAL 3D will perform thickness, total thickness variation (TTV) and stress measurement.

With the microscopy capability, the tool will also perform in-plane registration and out-of-the-plane registration for wafer bonding alignment process control. A new out-of-the-plane overlay metrology enables 0.1µm accuracy.

The tool will also be able to measure critical dimensions (CDs) and the depth of high-aspect-ratio cavities.

FOGALE also recently installed T-MAP DUAL 3D metrology at SPTS, a leader in deep reactive ion etch (DRIE) and plasma etching process tools. SPTS will use the T-MAP DUAL 3D 300M for its application lab in Newport, Wales to perform metrology on through silicon via (TSV) formations.

FOGALE T-MAP DUAL 3D technology was also qualified by a major Korean IDM for back-side wafer processing from temporary wafer bonding to TSV reveal.

FOGALE nanotech provides high-accuracy dimensional metrology tools. FOGALE nanotech provides process control and characterization solutions for MEMS and semiconductor manufacturers and labs around the world. Learn more at www.fogale-semicon.com.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

In a webcast scheduled for June 27th at 1:00 Eastern, 11:00 Pacific, David McCann of GLOBALFOUNDRIES will provide a status report on advanced packaging and 3D integration. McCann is responsible for Packaging R&D and back-end strategy and implementation at GLOBALFOUNDRIES. He will address what has recently changed, technology challenges, technology solutions, the “new” supply chain, and design for yield. He will describe the increasingly important role that foundries have played as the industry has evolved from wire bond and flip chip connections to Pb-free bumping and wafer level packaging and now to through silicon vias and interposers.

 “Previously, companies in incremental steps of the supply chain could develop products relatively independently,” he notes. “Now they must work together to create solutions, or fail their common customers.  Although the shortest path to market may be for the foundry to do everything in-house, the path to the best solutions that will enable competitive costs and high volume adaption will be flexible supply chains with collaborative partnering, flexibility, and transparency.”

Prior to GLOBALFOUNDRIES, David worked at Amkor Technology for 11 years, most recently leading the BGA, Flip Chip and MEMS product groups.  He was responsible for extensions of package technology, bump, applications, and business performance.  Prior to this, Dave was responsible for the fcBGA and fcCSP business group at Amkor.  He led cross-functional teams in various areas including networking product strategy, mobile product development, large die/lead free flip chip development, and wafer level product strategy.  David worked closely with Amkor factories in Asia.

Prior to Amkor, David worked at Biotronik, GmbH in Portland, OR.  Biotronik is a developer and manufacturer of implanted medical devices including defibrillators and pacemakers.  David worked at Biotronik for 9 years and had various roles in Production, Process Engineering, Product Engineering, and Flip Chip implementation.  His last role at Biotronik was leading the assembly, interconnect, and product transition from wire bond to flip chip.

David has supported the Electronic Component and Technology Conference for more than 10 years.  This year he is Conference General Chair.

Read McCann’s comments on ECTC is our report, ECTC: Focus on 3D integration and TSVs.

Register now for the free webcast: 3D and 2.5D Integration: A Status Report.

June 20, 2012 — Mitutoyo America Corporation launched the Surftest SJ-411/412 portable surface roughness tester, conforming to roughness standards JIS-B0601-2001, JIS-B0601-1994, JIS-B0601-1982, VDA, ISO-1997 and ANSI.

Also read: Eliminating micro-cracks, crystal dislocations with single-wafer surface conditioning

The instrument includes a 5.7" color LCD touchscreen display. After measurement is performed, a waveform with scale and ruler options is displayed for detailed analysis. The presentation corresponds to cissoidal calculations whereby one measurement can evaluate two different conditions. A simple contour analysis function is included (4 types: step, step amount, area and coordinate difference). In addition, a digital adjustment tilting (DAT) function is included for work piece leveling.

A manual column stand works with three new optional accessories (auto set unit, tilting adjustment unit and X-axis adjustment unit) to assist in setup and to enhance operability.

The Surftest SJ-411/412 enables statistic measurements of up to 3 parameters each for as many as 300 samples providing average, standard deviation, max, min, pass rate and histogram. Up to 10 measurement conditions and the stored data can be called up, displayed and printed using a built-in thermal printer. Also, the SJ-411/412 can store up to 10 measuring conditions in its on-board memory.

The system supports a micro-SD card (option) for storage of up to 10,000 text data, 500 measuring conditions, 1000 measuring data, 500 images (BMP), 500 statistic data or auto-save up to the last 10 measuring data. Total and sectional measurement results can be displayed in addition to evaluation and BAC/ADC curves.

The SJ-411/412 includes 16 selectable languages. A maximum of 5 custom styli can be recorded and calibrated independently.

The tool provides SPC output; RS-232C and external SW connectivity is also supported while available Mitutoyo software packages enable integration into high-level network environments for enterprise-wide functionality. Security is managed via a password lock.

Mitutoyo Corporation provides measurement and inspection solutions. Internet: www.mitutoyo.com

Visit the Semiconductors Channel of Solid State Technology!

June 13, 2012 — The ConFab, Solid State Technology’s invitation-only meeting of the semiconductor industry, hosts sessions on the semiconductor industry’s blockbuster topics impacting advance technology manufacturing, such as the transition to 450mm wafers and the increasing importance of 3D integration and advanced packaging. But this year, the conference also allocated time to a discussion revolving around legacy manufacturing. Unlike finFETs and 450mm wafer fab, the challenges faced by mature production facilities are seldom in the headlines. However, as Sanjay Rajguru, director at SEMATECH/ISMI, pointed out, over half of the current fab capacity comes from facilities that are more than 10 years old.

The challenges faced by older production facilities include equipment obsolescence; skills obsolescence; availability of parts, software, and support; and equipment capability extension and tool re-use. Maintaining “More than Moore” fabs is a major concern to semiconductor manufacturers as these operations reach 20 or even 30 years old.

At the ConFab 2012 Executive Roundtable, representatives from Sematech/ISMI, IDMs, OEMs, equipment dealers, and industry consultants gathered to have an open discussion on concerns, roadblocks, and possible solutions.

ISMI has spent over a year looking at the problems fabs are encountering due to obsolescence. The issues are not limited to equipment. Fab operators are finding it difficult to obtain qualified parts through the traditional supply chain. Many of the field service support staff with 200mm and older wafer experience are retiring or moving on to more advanced equipment areas. There are also situations being reported of discontinued materials. In some cases, new materials mean re-qualifying the whole process.

One of the first questions brought to the table was what is going on in the supply chain? The main issue is who’s going to do what? What role does the OEM play when a tool needs maintenance or a replacement part? Most fab operators contact the OEM first. If the OEM no longer provides full support for that tool or part, what options does the fab have available?

Of the OEMs in the room, the problem of obsolete parts ranged from ‘It’s becoming a major issue’ to ‘It’s a nuisance’ to ‘We do not have a problem’. In addition to obsolete parts, other problems included missing support on software and availability of schematics.

Many IDMs with legacy fabs support their own machine shops. They can build their own parts or they have the capability to use third-party suppliers. Traditionally, handling systems, chillers, and pumps could be repaired internally but even these parts are becoming a problem. Even with internal resources, some of these parts are becoming harder to repair or replace.

IDMs have implemented several measures to meet their growing needs. To address the lack of technical knowledge, companies are holding their own training classes. Retired field service technicians are coming out of retirement to teach classes in order to pass on their knowledge base. Good lines of communication between IDM and OEM are essential. Some companies are using ‘communities’ such as ISMI and FOA (Fab Owners Association) to share information. To help out with parts replacement, the FOA has approximately 22 members and these member companies maintain a ‘common stock room’ to help each other in times of need.

What are some of the challenges providing continuous support?

Consolidation amongst equipment companies has created challenges in keeping up with all the types of equipment that are installed. Several companies no longer exist but the equipment is still being used and the IDMs expect OEMs to continue to support those tools even though the original vendor no longer exists. There are some tools that maintain a very small installed base but require a very high number of parts. One part may be consumed only once every three to four years. It is difficult to serve a customer who calls once every 2-3 years and needs only one part. There could be 500 known parts, 70 are obtainable, 430 require research. It is not cost effective for the OEM to maintain detailed information on every part.

What can the OEMs do to help?

As previously mentioned, open lines of communication are very helpful. How are OEM’s notifying customers about EOL (end of life) parts? Mass emails do not always work. How can the notification process be improved? How far back can an OEM go and still manage the system?

Some OEMs have programs to support legacy tools. An analysis is usually done to determine what is a cost-effective solution. That is compared to an open market alternative. Many customers are finding third party sources offering lower priced solutions.

OEMs do have ‘certified’ programs for those companies that are willing to pay a nominal fee in order to deal in qualified parts and service. But the industry cycles are hard on everyone. Some of the third party relationships do not last. It is difficult to find reliable, qualified partners.

Some OEMs offer a help line to address quick turnaround inquiries. TSIA (Technical Services Industry Association) provides studies on cost of delivery services in a technology environment. There are several options including onsite, email, chat rooms, and remote.

Conclusion

There were many topics that were not covered in the hour and a half allocated for this session. But the ConFab roundtable on legacy fab issues opened the door for further action and discussion. A better understanding of the costs, impact and positions from all players in the supply chain will help make the whole supply chain more effective and prosperous for all participants.

Bill Ross is a project manager at ISMI. Watch a video interview with him from The ConFab 2012.

Joanne Itow is Managing Director, Manufacturing for Semico Research.

June 13, 2012 — MicroSense LLC, maker of high-resolution capacitive position sensors, metrology modules, and high-sensitivity magnetic metrology tools, acquired SigmaTech Inc., developer of high-sensitivity metrology tools for light-emitting diode (LED), micro electro mechanical system (MEMS), and semiconductor manufacturing.

“SigmaTech’s customers and prospects will continue to be supported by the existing SigmaTech employees, all of whom will stay with the combined business,” said James Pelusi, Chairman and CEO of MicroSense.

MicroSense gains leading-edge wafer inspection tools for its metrology portfolio, with systems that integrate optical spectrometry, interferometry, SigmaTech’s patented auto-positioning back pressure (APBP) technology and other sensing tools. MicroSense will integrate its capacitance sensors into SigmaTech metrology platforms. SigmaTech took the 2011 Best of West award at SEMICON West. The MicroSense high-resolution capacitive sensor technology provides “an ideal path to increasing throughput” of SigmaTech metrology systems and expanding its applications, said Jacques Fauque, semiconductor industry veteran and founder of SigmaTech who will remain with the business and continue to lead its development.

MicroSense’s capacitive sensors enable precise, high-bandwidth measurements of solar wafers, hard disk drive (HDD) motors, air bearing spindles, precision X-Y stages, optical disks, automotive parts and machine tools. Leading equipment manufacturers around the world use MicroSense capacitive sensors in wafer lithography systems, solar wafer sorters, autofocus mechanisms, nanopositioning stages, metrology tools and flat panel display manufacturing equipment.

MicroSense supplies capacitive sensors, metrology modules, and magnetic metrology tools. Learn more at www.microsense.net.

SigmaTech offers automated metrology solutions for a broad range of standard and specific applications in the field of semiconductor wafers, materials and optics. SigmaTech has multiple system installations at many of the world’s leading semiconductor manufacturing companies and stands at the forefront of the dimensional wafer metrology industry. SigmaTech currently offers multiple gauging technologies that can be implemented to provide the optimal solution for virtually any customer application. Learn more at http://www.sigma9600.com/.