Category Archives: OLEDs

MOCON, Inc., a manufacturer of package integrity instrumentation, is launching a new system to measure the water vapor transmission rate, or WVTR, of ultra-high barrier films with greater sensitivity than ever before possible. 

The new generation AQUATRAN Model 2 WVTR measurement instrument targets commercial or developmental ultra-high barrier structures which are being engineered to push the performance envelope.  These include flexible films for organic light-emitting display (OLED), solar and similar demanding applications, which require accurate and extremely sensitive instrumentation to build performance statistics.

The AQUATRAN Model 2 uses proprietary technology to accurately and repeatably measure WVTR down to 5×10-5 g/(m2-day). This is one order of magnitude lower than its very successful predecessor the Model 1, which was launched in 2005. 

The Model 2 uses propriety technology to increase sensor sensitivity and reduce the possibility of ambient humidity interfering with test results.  Further, the AQUATRAN Model 2 is the only system available for measuring trace WVTR that is not calibration dependent, providing more accurate and repeatable results.

The AQUATRAN Model 2 utilizes MOCON’s newest AquaTrace Gold coulometric longer-life sensor which is based on Faraday’s Law. Every water molecule entering the sensor is directly converted to a measureable charge.  No calibration is necessary, assuring an accurate test result.

Transient data also is quantifiable and can be viewed during or after the test. (Analysis of transient data can yield important information about an OLED structure such as detecting defects and voids in the applied coatings.)

Additional enhancements to the AQUATRAN Model 2 include improved electronics,  enhanced block and valve design, and the introduction of TruSeal™ technology which reduces seal-edge leaks due to ambient humidity.

The AQUATRAN Model 2 is available in two versions. The G system operates between 35 and 90 percent relative humidity (RH) and a temperature range of 10 to 40 centigrade.  The W version offers 100% RH and a temperature range 10 to 50 centigrade.

large area flexible displaysTechnology directions in the field of large-area and low-temperature electronics focuses on lowering the cost-per-unit-area, instead of increasing the number of functions-per-unit-area that is accomplished in crystalline Si technology according to the well-known Moore’s law.

A clear breakthrough in research for large area electronics in the last decade has been the development of thin-filmtransistor, or TFT processes with an extremely low temperature budget of (<150°C) enabling manufacturing of flexible and inexpensive substrates like plastic films and paper.

The materials used for these developments have for a long time been carbon-based organic molecules like pentacene with properties of p-type semiconductors. More recently, air-stable organic n-type semiconductors and amorphous metal oxides, which are also n-type semiconductors, have emerged. The most popular metal oxide semiconductor is amorphous Indium Gallium Zinc Oxide, or IGZO, but variants exist, such as Zinc Oxide, Zinc Tin Oxide, and so on. The mobility of n- and p-type organic semiconductors has reached values exceeding 10 cm2Vs, which is already at par or exceeding the performance of amorphous silicon. Amorphous metal oxide transistors have typical charge carrier mobility of 10 to 20 cm2/Vs. Operational stability of all semiconductor materials has greatly improved, and should be sufficient to enable commercial applications, especially in combination with large-area compatible barrier layers to seal the transistor stack.

In the state-of-the-art p-type only, n-type only and complementary technologies are available. For the latter, all-organic implementations have been shown, but also hybrid solutions, featuring the integration of p-type organic with n-type oxide TFTs. Most TFTs are still manufactured with technologies from display-lines, using subtractive methods based on lithography. However, there is a clear emphasis on the development of technologies that could provide higher production throughput, based on different technologies borrowed from the graphic printing world like screen and inkjet printing. The feature sizes and spread of characteristics of printed TFT technologies are still larger than those made by lithography, but there is clear progress in the field.

The prime application for these TFT families are backplanes for active-matrix displays, including in particular flexible displays. Organic TFTs are well-suited for electronic paper-type displays, whereas oxide TFTs are targeting OLED displays. Furthermore, these thin-film transistors on foil are well-suited for integration with temperature or chemical sensors, pressure-sensitive foils, photodiode arrays, antennas, sheets capable of distributing RF power to appliances, energy scavenging devices, and so on, which will lead to hybrid integrated systems on foil. Early demonstrations include smart labels, smart shop shelves, smart medical patches, etc. They are enabled by a continuous progress in the complexity of analog TFT circuits targeting the interface with sensors and actuators, to modulate, amplify and convert analog signals as well as progress in digital TFT circuits and non-volatile memory to process and store information.

In line with this trend, ISSCC 2013 features three papers representing the latest state-of-the-art of organic thin-film transistor circuits. A front-end amplifier array for EMG measurement is demonstrated for the first time with organic electronics in paper 6.4. Transistor mismatch and power consumption of the amplifier are reduced by 92% and 56%, respectively, by selecting and connecting the transistors trough a post-inkjet printing. Papers 6.5 and 6.6 present advances in analog-to-digital converters for sensing applications. Papers 6.5 demonstrates the first ADC that integrates on the same chips resistors and printed n and p-type transistors. The ADC achieves an SNDR of 19.6dB, SNR of 25.7dB and BW of 2Hz. In Papers 6.6, an ADC made only with p-type transistors is presented that has the highest linearity without calibration and that is 14 times smaller than previous works using the same technology.

This and other related topics will be discussed at length at ISSCC 2013, the foremost global forum for new developments in the integrated-circuit industry. ISSCC, the International Solid-State Circuits Conference, will be held on February 17-21, 2013, at the San Francisco Marriott Marquis Hotel.

LED market discussedWith increasing awareness of global climate change and the importance of energy conservation, more and more countries have launched LED lighting projects and subsidy policies. As a result, even though the growth of the LED market in 2012 was hampered by global economic challenges, overall demand has continued to be on the rise. To help the Taiwan LED industry tackle the increasing challenges, an in-depth analysis of LED global market opportunities and technology breakthroughs were recently provided at the 2013 LED Market and Outlook seminar held by SEMI Taiwan.

Demand for high-power white LED is now growing at a rapid pace. Yellow and natural light LEDs will both exceed 200 lumen/watt in power rating by 2015 and even surpass 250 lumen/watt by 2020. OEM bulb prices are expected to drop from US$ 23 per 1,000 lumen in 2012 to $10 per 1,000 lumen in 2015 and then down to $5 per 1,000 lumen by 2020. The next few years will therefore see strong growth in the LED lighting market.

LED lighting market continues to grow from 2011 to 2016

Daphne Kuo, an analyst with ITRI Industrial Economics & Knowledge Center, added that the global market for general lighting has an annual growth rate of between 3 and 6%. The global market is expected to be worth $114.7 Billion in 2020, with the LED lighting market reaching a compound annual growth rate of 45% between 2011 and 2016, and 15% between 2016 and 2020. The LED lighting market could therefore reach a value of $79 billion.

In terms of the LED lighting market structure, LED home lighting will be the largest market in 2020 at $32.1 billion accounting for 41 percent of the total LED lighting. The next two largest markets will be outdoor and office lighting, with both approaching $11.3 billion. The overall market will itself be divided into the new installation market and the replacement market. The relative scale of the two markets is approximately 80:20. The scale of the replacement market is however expected to begin contracting after 2015 as LED penetration increases and lighting technology improves.

Different regions show different approaches to LED market

According to Kuo, currently Western nations account for 50% of the general lighting market and the Asian market accounts for 40%, so these two large regional markets remain evenly balanced. However, future growth will be driven mainly by emerging nations, and the BRICs in particular, because of strong government support for LED lighting. China will be the largest among them and account for approximately 70% of the BRIC lighting market. The China market is estimated to account for 45% of all demand in Asia, or 18% of the global lighting market.

Nevertheless, demand for LED lighting in China mainly comes from government projects. With local firms and governments joining forces to protect their vested interests, it is very difficult for outside firms to make any headway. Any company wishing to enter the China market must pay attention to the parochial nature of the lighting market. Adopting a profit sharing model and establishing a solid partnership with regional lighting channel operators is essential when entering the LED lighting market in China.

Keys to market: Lower production cost and improve efficiency

In addition to the market challenges, there will also be a number of technological challenges in the future. EPISTAR’s Carson Hsieh noted that solving problems with thermal resistance remains the number one priority. The current trend is using Flip-Chip technology to reduce chip-level thermal resistance. Another approach is to improve light emission efficiency. Light emission efficiency is in turn governed by internal quantum efficiency and light extraction efficiency. While improvements have been made in internal quantum efficiency, factors such as material absorption, uneven current distribution, and threshold loss mean that even high internal quantum efficiency within the LED produces relatively little external light. The bottleneck in LED light extraction efficiency must therefore be overcome.

The current trend is using Patterned Sapphire Substrate (PSS) technology as it has the advantage of increasing LED light extraction efficiency. Another method, called Nano Patterned Sapphire Substrate (NPSS), not only increases light extraction efficiency but also boosts epi wafer output. Increasing light extraction efficiency will not only boost overall light emission efficiency but also reduce thermal loss, allowing LED bulbs to do away with heat sinks and reduce costs even more.

By using GaN LED on Si technology to grow the epi layers on large silicon wafers, it will also be possible to adopt a production process that is compatible with semiconductor production lines and significantly reduce overall costs as well. However, GaN has a far higher thermal expansion coefficient than silicon so this may lead to technical problems such as epitaxial film rupture or wafer warping that will need to be overcome in the future.

Technology breakthroughs lead to further reductions in LED costs. This will in turn increase market acceptance and usher in of the era of high growth for the LED lighting market.

A new report from IHS Displaybank examined a total of 483 patents on roll-to-roll processing technologies, focusing on 32 that were flexible, OLED-related. 43 flexible OLED-related roll-to-roll application technologies and 23 roll-to-roll patents by SiPix were also selected for an analysis. 

A flexible display is considered as the next-generation display that is bendable and rollable without damage, by using a paper-thin and flexible substrate. The flexible display market is projected to lead the market growth by creating a new display market as well as by replacing the current display market. In addition, when producing flexible displays, if a large-area and low-cost technology based on the roll -to-roll process is realized, new demands with such as indoor/outdoor advertising and various decorative purposes are expected to be created.

The roll-to-roll process is a foundation to mass produce flexible electronics applications at low cost. It is a greatly demanded technology in the related-product manufacturing industry. The technology at the present level allows high speed printing, but the ink viscosity and the resolution vary depending on the printing method, and the equipment research on the device manufacturing process has not yet conducted enough.

The report contains the application trend and in-depth analysis of key patents on the roll-to-roll processing technology.

Looking at the application trend of 483 patents on roll-to-roll processing technology, the number of applications has continuously increased since mid 2000s, and many were applied in the U.S. Major applicants include 3M Innovative Properties, SiPix Imaging, Fuji Film, and General Electric. Amid vigorous developments of roll-to-roll processing technologies, competition among companies in the U.S., Japan, and South Korea gets increasingly fierce.

Roll-to-roll Processing Technology Patent Application Trends by Year/Country

 

Source: Displaybank, “Key Patent Analysis—Flexible Roll-to-roll Processing Technology”

Of a total of 483 roll-to-roll processing technology patents, 23 flexible OLED-related U.S. published/issued patents and 9 international patents were extracted as key patents. In-depth analyses were conducted on the 32 key patents after divided into the roll-to-roll manufacturing processing technology and apparatus technology. The key patent analysis includes key patent status, technology development map, and abstract.

January 22, 2012 – The Fraunhofer Institute for Applied Polymer Research (IAP) in Potsdam-Golm and fab/cleanroom developer MBRAUN have commissioned a new "near industrial-scale" pilot line for organic light-emitting diodes (OLEDs) and organic solar cells.

The 15m-long pilot line, dubbed the Pilot Plant for Solution-based Processes for Organic Electronics at Fraunhofer IAP’s Application Center for Innovative Polymer Technologies, was commissioned during a two-day workshop last week (Jan. 15-16) entitled "Solution-based Organic Electronics: From Materials to Technology."

Showing the new ability to extend of previous laboratory-scale work, part of the ceremony apparently included showing a 1:20 scale bus shelter (10cm high), designed by a joint project of IAP and fdesign and funded by the Federal Ministry of Research. The mini-shelter is solar powered with partially transparent organic solar cells integrated into the roof and sidewall; OLEDs display the schedule or give light signals when a bus arrives. The Potsdam Fraunhofer Institute developed the OLEDs as well as the organic solar cells.

"The model shows that organic electronics has great design potential for energy-saving, intelligent lighting control and information systems," stated Armin Wedel, division director at Fraunhofer IAP. "To apply these technologies to life-size street furniture, the new pilot line now offers the possibility to realize organic electronic components under near-industrial conditions — a crucial prerequisite for the later transfer into commercial products."

Martin Reinelt, CEO of MBRAUN, added his hope that such partnerships can "strengthen the German research landscape in order to compete successfully with American and Asian research institutions. We also want to demonstrate the performance of German plant manufacturing."

January 7, 2012 – As the annual Consumer Electronics Show and hordes of techie enthusiasts descends over Las Vegas this week, one display technology — 4K × 2K — is expected to grab most of the attention, says NPD DisplaySearch.

4K LCD TV shipments will exceed OLED TV shipments through 2015, the firm says, due to both delays by OLED TV makers and increased promotion of 4K LCD TVs. Many Chinese brands are currently launching their own products domestically. OLED TVs should start hitting the market in 2013, but with low volumes and high prices. Note that 4K technology can be applied to OLED TVs as well, and eventually will be introduced for some premium TV segments, the firm points out.

"The global TV market—and North America in particular—are experiencing either slow or negative growth in 2012, and brands are eager to demonstrate new technologies that might create a spike in demand," stated Paul Gagnon, Director for Global TV Research at NPD DisplaySearch. Gagnon added, “OLED TV was prominently featured during the previous two CES shows as the next-generation TV display technology, but the lack of market launch so far has caused several set makers to start emphasizing 4K×2K resolution TVs for premium market segments."

Forecast for OLED TV and 4K LCD TV. (Source: NPD DisplaySearch)

Overall TV demand is expected to fall in 2012, as consumers worldwide grapple with tough economic conditions and TV prices fall at only marginal rates. DisplaySearch estimates LCD TV shipments in 2012 were 205 million, slightly lower than in 2011, while plasma TV shipments sunk 24% to 13 million. The firm sees 2013 initially taking shape as a flat market due to persistent economic uncertainty, but ultimately smoothing into gradual growth as conditions improve and as price declines in the TV market accelerate.


TV shipment growth by technology. (Source: NPD DisplaySearch)

November 29, 2012 – The market for materials used in printed electronics manufacturing will nearly double over the next five years as new materials are brought forth that are printing process-compatible and are sufficiently low-cost to support low-cost volume production of printed electronic devices, according to a Lux Research report.

"Much of the promise of printed electronics lies in the potential to manufacture devices through low-cost, high-throughput manufacturing," said Jonathan Melnick, Lux Research analyst and lead author of the report, "Inking Money: The prospects for materials in printed electronics." To do that, though, will require creation and implementation of various materials that offer good enough performance and are compatible with printing processes — without becoming too costly themselves."

Examining a range of materials with a breadth of complexity, performance, and cost — focusing on conductive inks and pastes, new transparent conductive films, and semiconductor inks — Lux offers the following observations:

  • Silver thrives; alternatives struggle. The market for opaque conductive inks alone will grow to $2.4 billion in 2017, from $1.4 billion in 2012, with medical and RFID among the fastest-growing segments. However, silver paste will still dominate and other materials will only find traction in solar applications.
  • Rapid smartphone adoption offers a bonanza. Transparent conductive films (TCF) to replace indium tin oxide (ITO) widely used in touchscreens will grow to $705 million, of which $112 million will come from the inks. Most of that will be driven by smartphone touchscreens, with tablets a distant second — meaning there’s a wide range of potential growth scenarios.
  • Displays lead the way for printed semiconductors. Printed semiconductors will grow to $68 million in 2017, with solution-processed OLED emissive materials the lead application.

Rising silver cost will have less impact on emerging silver paste and ink alternatives prices. (Source: Lux Research)

by Paula Doe, SEMI Emerging Markets

Materials experts from across the supply chain who gathered at the Strategic Materials Conference 2012 in San Jose in October discussed key materials needs for micromanufacturing outside the CMOS mainstream, as OLEDs and GaN-on-silicon power semiconductors come to market, and alternatives like graphene, CNTs, and self-assembling polymers get closer to commercial application.

Large OLED displays are coming, and counting on materials breakthroughs

OLED adoption in larger displays is surely coming, driven by business necessity, argued James Dietz of Plextronics. Most of the major display makers are seeing operating losses from their LCD business, and OLEDs look like the best option for higher-value, differentiated products to improve margins. The OLED displays look significantly better, and they may potentially open new markets for lighter or flexible or more rugged displays, or for dual-view products. OLEDs’ ultra-fast switching speeds could allow different viewers with different glasses to watch different programs at the same time on the same screen. Moreover, though OLEDs are more expensive now, the variable costs for a 55-in. OLED TV made on an 8G line will be quite comparable to those for a similar LCD. And the OLED costs have far more potential to come down further, by developments like simplifying the layer stack and introducing wet processes that use lower cost equipment with higher utilization of the expensive materials.

But the nature of the market also means new challenges for suppliers. Anxious to avoid another experience like the commoditization of the LCD sector, display makers intend to keep their processes and complex OLEDs materials stacks to themselves this time, which makes process integration of different materials and equipment difficult. The device makers are investing in developing their own materials, making exclusive contracts with equipment and materials suppliers, and doing their own process integration. Integration is also being driven by some materials suppliers like DuPont Displays. But the familiar semiconductor model of the material and tool supplier working together to deliver a process to the customer is not the rule. "We see a gradual transition from all vapor to more solution layers," says Dietz. "OLEDs will enter the TV market in the next three years, and will have solution process steps by 2015."

The 55-in. OLED TVs announced for 2012 now look more likely to come out in only very small volume — a few thousand units in 2012 — and initial prices of ~$9000 will limit sales. But OLED TVs will start to see real growth by 2014-2015, helping to push OLED displays to a $25 billion market by 2017, reports Jennifer Colegrove, VP of emerging display technology at NPD DisplaySearch. She says ten new AMOLED fabs are planned to be built or updated in the next three years. OLED materials, now about a ~$350 million market (include the OLED organic materials but not substrates), should grow at close to the same 40% CAGR of the overall market, to reach $1-2 billion in 2014. But breakthroughs are still needed in oxide and amorphous silicon backplanes, color patterning technology, lifetime of blue materials, encapsulation materials, reduction of materials usage, and of course integration, uniformity and yields of all these things.

OLED display revenues will grow to about $35B in 2019, up from $4B in 2011, with CAGR ~40%. (Source: NPD DisplaySearch, Q3’12 Quarterly OLED Shipment and Forecast Report)

Solution processing is critically important to bringing down the cost of large screen OLEDs, argued John Richard, president, DuPont Displays, as the current production methods which rely on thermal evaporation with fine metal masks are proving costly to scale to 8G substrates. "We developed an alternative process using soluable materials to bring down cost," he notes. Wet processes reduce capital needs and cut material waste to reduce costs significantly, but still need ever better lifetimes and efficiencies of the OLED materials, particularly for blue. A major Asian display maker has licensed the DuPont technology, and plans to scale it up to 8G. The process uses largely pre-existing tools to slot coat the hole injection and transport layers, and pattern the surface with wetting and non-wetting lanes, before nozzle printing stripes of red, green and blue emitters using custom tool developed with Dai Nippon Screen.

The rest of the stack — the electron transfer layer, the electron injection layer, and the metal cathode — is then deposited by thermal evaporation. Richard says coating and printing processes can use significantly less material than vapor deposition, as it avoids losses in the chamber, on the mask, and during alignment and idling. DuPont reports printed blue emitter lifetime is up to 30,000 hours — or 8 hours a day of video for 15 years — before degrading to half brightness. Next issues include optimizing the cost of synthesis and starting materials, and reducing operating voltage for better device efficiency.

Graphene and carbon nanotubes get closer to commercial applications

Next-generation energy storage presents materials opportunities as well. One key enabler for improving both supercapacitors and batteries could be graphene, especially with better sources for consistent quality material at reasonable cost. Bor Jang, CEO of Angstron Materials, reported that his company has engaged a contract manufacturer in Asia to start volume production of as much as 30 tons of graphene next year, using Angstron’s technology that claims good control of structure and properties. "That will bring down costs by an order of magnitude," says Jang. First application will likely be performance enhancers for lithium-ion battery electrode materials, and then for improved electrodes for supercapacitors. Angstron has announced demonstration of a graphene-based supercapacitor with energy density comparable to a nickel hydride battery.

"We think supercapacitors is a market to invest in," said Chris Erickson, general partner at Pangaea Ventures, a somewhat unusual venture fund that invests particularly in materials and green technologies. "We think it will reach $1 billion in the near future." Erickson is also enthusiastic about the potential for dynamic window glazing using vapor-deposited coatings and ITO to adjust to control the shading on windows, for dramatic energy savings of up to 30% in energy consumption in a building, according to NREL — and buildings reportedly use 49% of total energy in the US.

Nantero reported major progress from its long effort in controlled processing and performance for its carbon nanotube thin film, targeting low-cost, low-power non-volatile memory. CTO and co-founder Thomas Reuckes said the company is now lithographically patterning films of its spin-coated aqueous solution of carbon nanotubes, as roughness, adhesion and defectivity are now suitable for semiconductor processing. Metal impurities are down to <1ppb in liquid form, wafer-level trace metals to <1E11 atoms/cm2 . Reuckes reported production of working and yielding 4Mbit CNT memory arrays, and showed results of reliability data. The company just announced a joint development program with imec to manufacture, test, and characterize the CNT memory arrays in imec’s facilities for applications in next generation <20nm memories.

GaN for power semiconductors needs higher purities than LED market

Power semiconductors made on GaN on silicon are being released to the market now, and, given time, could potentially address some 90% of the what IMS Research projects will be a $25 billion (silicon-based) power semiconductor market for MOSFET and IBGTs by 2016, suggested Tim McDonald, VP for emerging technologies at International Rectifier Corp. GaN theoretically offers much better specific on-resistance to breakdown voltage tradeoff than Si or SiC. The key to wide adoption is for GaN on Si based solutions to achieve 2-4× performance/cost compared to silicon.

To achieve the necessary low costs, IR uses compositionally graded layers of AlyGaxN grown on the silicon to ease the thermal and lattice mismatch of the GaN film to the silicon wafer. IR claims 80% yields, with warp and bow controlled enough to run on a standard 150mm CMOS line. GaN on silicon is moving more quickly to market for power semiconductors than for LEDs, as it brings better performance, not just potentially lower prices. It also helps that threading defects do not have the same impact on performance–plus IR has been developing the technology for six or seven years already.

The power market needs higher purity materials and cleaner tools for better yields on its larger die, compared to the LED market. It also prefers larger diameter wafers for lower costs. Demand for gas sources and MOCVD tools should scale with volume, and the tools need to be optimized for larger wafers and become more automated, with perhaps some 2,000-3,000 tools needed for the whole market over the next two decades. Packaging may move from wire bonding to soldered or sintered contacts, and will adopt other means of reducing stray packaging-related inductance and resistance.

The LED market will see only a few more years of significant growth, argued Jamie Fox, lighting and LEDs manager for IMS Research-IHS. Revenues from displays including TVs are leveling off from their fast ramp, as the markets mature, and as LEDs get both brighter and cheaper, driving down both units needed and cost per unit. The LED lighting market will continue its fast climb to near ~$6 billion over the next several years, but then as more lamp sockets are replaced by the longer lasting LEDs (and CFLs), there will be less need for replacements, and the market will slow. Slower adoption near term, however, would mean less saturation later.

Cree’s Mike Watson, senior director of marketing and product applications, countered by pointing out the potential for innovation that solid state technology brings to lighting, noting how digital technology has transformed markets like telephones and cameras into new industries for digital communications and digital imaging. "Semiconductor technology keeps changing industries by innovation," he noted. "Why do we keep thinking of it as just replacement?

Directed self-assembly for higher resolution lines and holes

Another of the more innovative materials alternatives on the CMOS side is directed self-assembly for next-generation patterning, which seems to be making rapid progress. AZ Electronic Materials CTO Ralph Dammel reported that block copolymers, with similar molecules together in blocks instead of randomly dispersed, tended to arrange themselves with the similar chain sections together, conveniently lining up into cylinders that look similar to lithographic contact holes, or into lines similar to lithographic lines and spaces. Wafer surface patterning with topography or chemicals can control the placement of these self-assembled patterns, on top of standard 193nm immersion lithography. Work with IBM Almaden suggests the process can provide better CD uniformity for quadruple patterning at lower cost than the spacer pitch division process. Other work shrinks contact holes, while improving the CD variation compared to the resist prepatterns. The company is now providing large-scale samples for in-fab process learning, with implementation perhaps as early as 2014, though design for self-assembly needs further development work.

November 5, 2012 – In early January of this year, both Samsung and LG showed off 55-in. versions of their organic light-emitting diode (OLED) TVs at the Consumer Electronics Show (CES) in Las Vegas. Commercial volumes were expected on shelves by the time of the 2012 Summer Olympics (which didn’t happen); they were again showed this fall at IFA in Germany.

Unfortunately, still struggling with low manufacturing yields and high prices, the two giants recently admitted the delivery of those technologies will be pushed out into 2013. NPD DisplaySearch now projects only 500 OLED TVs will ship in 2012.

Still, one must crawl before taking first steps and eventually running with the pack. Actually getting products out into the market is an important move, even as LCD TVs continue to get bigger and with higher resolutions. "4K × 2K LCD TVs have has become a focus and are currently available, and OLED TV needs to demonstrate its technical superiority," points out David Hsieh, VP at NPD DisplaySearch. "If we do see OLED TVs hit the market within 2012, the shipments will be used primarily for retail demonstrations in developed regions like North America and Europe."

OLED TV technology still has to overcome a number of obstacles, explained by the research firm:

  • Technical challenges: Making and scaling up large OLED panels (e.g. 55-in.) is a different animal vs. the smaller ones (e.g. 5-in.) now at high-volume output for smart phones.
  • Manufacturing limitations: Only two Gen-8 OLED lines are in place for TV panels, still in pilot mode and with low manufacturing yields which is keeping costs high and limiting the ability to address demand.
  • High price: Initial retail price for a 55-in. OLED will be around $10,000 — that’s not going to cut it when 60-in. LCD TVs sell for under $1000.
  • New high-definition competition: While the two Korean suppliers focus on OLEDs TVs, competitors in Taiwan, China, and Japan are developing LCD TVs with ultrahigh definition (4K × 2K).
  • Market timing: How much advantage do Samsung and LG have from their early adoption of OLED; will competitors quickly close that gap?

NPD DisplaySearch is still bullish on OLED’s longer-term competitiveness, though, expecting that suppliers in Taiwan, China, and Japan will indeed pick up the mantle of AMOLED TV panel production. The firm projects over one million unit shipments in 2014, and a 3% market penetration by 2016.

Forecasted shipments (in millions) and penetration rates for OLED TVs. (Source: NPD DisplaySearch)

 

November 2, 2012 – OLED revenues are currently being driven by display applications (e.g. smartphones), but there’s a new battleground slowly emerging: OLEDs for lighting applications where the technology could offer some advantages in design and efficiency for some applications — if panel makers are willing to make some sacrifices, according to a report from Yole Développement.

Conventional LED technology has paved the way in solid-state lighting, and has a large headstart; OLED has to overcome high costs and current lower efficiency, which are hampering market adoption and penetration. The firm sees OLEDs for lighting making initial inroads in specific lighting applications (automotive, general lighting) and in niche specialty and high-end lighting where it can offer some differentiation in design options. To crack more traditional lighting markets (commercial, office buildings, etc.), however, OLED technology will have to advance the technology and expand across different niche markets to achieve economies of scale and will decrease costs. Yole pegs this happening sometime in 2014, with the rise of larger substrates and better process control.

Pars Mukish, technology & market analyst for LED & OLED at Yole, then foresees an astonishing growth projection for OLED lighting panels: from a $2.8M market this year (2012) to nearly $1.7B by 2020, with general lighting applications representing more than 70% of that business.

OLED panels revenue for lighting applications. (Source: Yole Développement)

That won’t come easy, though. There are a number of materials and OLED structures being explored and in production, tweaked to improve performance and lifetime and also decrease manufacturing costs. Polymer materials for OLEDs continue to struggle (vs. small-molecule OLED materials) in demonstrating their capabilities to lower costs and improve performance to production-acceptable levels. Rigid glass is still the go-to substrate for OLED lighting panels, but work continues on other flexible OLED technologies including roll-to-roll processing, ultrathin glass, and encapsulation options.

To have a chance at fulfilling the aforementioned growth expectations for OLED lighting, OLED panel makers have to quickly identify the winning technology approaches and time-to-market strategies. "New business models are mandatory as the traditional lighting industry will be reluctant to integrate new technology as it could eat away at margins — OLED cost directly impacts the cost of OLED-based luminaires," points out Milan Rosina, Yole’s technology & market analyst for OLED & photovoltaics. The kicker: both the new OLED technology and its integration into production are brand-new to panel makers, who are unlikely to sacrifice existing LED lighting sales and complicate production just to deploy a new technology, he notes.

Thus the key to OLED technology’s future in more mainstream lighting applications, the Yole analysts say, boils down to how and when panel makers can establish vertical integration strategies and figure out how to push the new technology through existing distribution channels. And above all, find that "spark" niche market (or markets) that will pave the way to economies-of-scale, which will open up the conversations to convey opportunities and advantages for OLED technology in general consumer lighting applications.