Category Archives: OLEDs

July 26, 2012 — DisplaySearch has lowered its 2012 mobile phone displays forecast from 1,917 million units to 1,729 million units, blaming insufficient capacity of semiconductor processes for new application processors, anticipation of the iPhone 5, the European financial disaster, saturation in developed markets, and other unexpected situations.

Smartphones have driven continuous small- to medium-size display growth, with low-temperature polysilicon (LTPS) thin-film transistor liquid crystal displays (TFT LCDs) and active-matrix organic light-emitting diode (AMOLED) displays preferred in premium models.

In Q1 2012, mobile phone display shipments totaled about 400 million units, missing NPD DisplaySearch’s forecast.

The traditional boom quarter — Q3 leading into holiday consumer sales — will be hard hit in 2012. NPD DisplaySearch modified its forecast down from 22% Q/Q growth to only 9% Q/Q growth because of the negative factors and poor shipment results seen early in 2012.

Comparing last quarter’s forecasts and actual shipment results by technology, NPD DisplaySearch found that AMOLED actually had higher growth, but other technologies showed declines.

  • NPD DisplaySearch originally estimated 23% Q/Q growth for AMOLED, but it reached 26% Q/Q.
  • LTPS TFT LCD was forecast to be up 7% Q/Q, but was actually down 2% Q/Q.
  • amorphous silicon (a-Si) TFT LCD declined 14% Q/Q.
  • CSTN, MSTN, and PMOLED are no longer mainstream as mobile phone displays, so their declines were less relevant to the results.

Access the full article in the DisplaySearch Monitor, http://www.displaysearch.com/cps/rde/xchg/displaysearch/hs.xsl/login_required_dsm.asp?page=my_dsm.asp

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 25, 2012 – BUSINESS WIRE — Panasonic, LG Chem and Philips are among the presenters for the upcoming 14th annual OLEDs World Summit, September 26-28, in San Francisco, CA, hosted by Smithers Apex and the OLED Association.

The conference will highlight the “dynamic and newsworthy” organic light-emitting diode (OLED) advances of 2012, said Andrew Smaha, conference director for Smithers Apex. It will focus on investment and markets for display and lighting, plus highlight recent advances in R&D from OEMs and research institutes. “Experts will delve deeper into OLED technology R&D than any other symposium to date,” said Smaha. The event’s focus on OLED lighting R&D and design is nearly double what was presented in past editions.

OLEDs 2012 will also offer attendees three panel discussions: How OLEDs Can Grow from ‘Niche’ to Market Dominance, Strategies with OLED Lighting Designers, and Flexible OLEDs from Concept to Market.

More than 20 presentations will come from Arizona State University; AU Optronics Corp.; Bingham McCutchen; Display Search; EMD Chemicals; First O-Lite; Fraunhofer; IBM; Ignis Innovation Inc.; IHS iSuppli; Kateeva, Inc.; KeyBanc Capital Markets; Konica Minolta Advanced Layers; Novaled AG; OLED Association; OLEDWorks; OSRAM Opto Semiconductors GmbH; Panasonic; Philips Lighting; Soochow University; SRI International; Sungkyunkwan University; Tianma; Universal Display Corporation; the U.S. Army Research Laboratory; and Wolf Greenfield.

The OLEDs World Summit will begin with 2 pre-conference seminars that will allow attendees to gain a deeper understanding of key issues facing the industry. Barbarians at the IP Gate, led by Jed Dorsheimer of Canaccord Genuity, will show attendees how to construct a lasting IP portfolio along with offensive and defensive IP strategies. Options for Innovative Area Lighting, led by Norman Bardsley of Bardsley Consulting, will cover color, control, cost and other key topics.

For complete information about OLEDs 2012, please visit the conference website at www.oledsworldsummit.com.

Smithers Apex, formerly known as IntertechPira, is a global business that provides events, market research, publications and strategic and technical consulting for niche, emerging, and high-growth industries. Learn more at www.smithersapex.com.

OLED Association (OLED-A) provides a forum for the interchange of technical and market information. Our membership includes companies involved in small-molecule OLED technology and polymer technology (PLED or light-emitting polymers).

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

July 25, 2012 — Polarizers used in display manufacturing will form a $9.94 billion market in 2012, up 4% from 2011, according to Displaybank. Sequentially, the polarizer market decreased by 9% in Q1 2012, hitting 2.235 billion. This was down 6% from Q1 2011.

The majority of polarizers — 98% — will be used in thin-film transistor liquid crystal displays (TFT-LCDs), with the remainder divvied up between active matrix organic light-emitting diode (AMOLED) and other display technologies. Starting in 2012, the AMOLED TV market is expected to grow gradually. New films are being developed to meet this need, as compensation films used in small- and medium-sized AMOLED displays are inadequate for large-size AMOLEDs. While just 2% of the overall polarizer market in 2012 ($167 million), AMOLED-use polarizers will grow to 9% of the total segment by 2016, Displaybank reports, exceeding $1 billion.

Figure. Polarizer market forecast by technology/size. SOURCE: Displaybank, Polarizer Market & Industry Trend Analysis.

Acrylic films will also see growth. Acrylic films are used to replace Z-TAC, a polarizer compensation film for IPS. Now, acrylics are being investigated as an alternative to plane TAC films. This has been shown in small and medium-sized applications, and could emerge in 2013 and grow rapidly in 2014.

“Polarizer Market & Industry Trend Analysis” analyzes the market forecast of polarizer, production line status by manufacturer, supply chain, and price trend from 2010 to 2016. In addition, this report intends to give a better understanding of the polarize market where the competition of the  high value-added film production started in earnest through the market analysis of important optical sub-material films, TAC film, PVA film, PET protective film, release film, anti-reflection film, and compensation film.

Learn more and access the report at http://www.displaybank.com/_eng/research/report_view.html?id=15&cate=4

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 20, 2012 – BUSINESS WIRE — Universal Display Corporation (NASDAQ:PANL), OLED patent licensor and supplier of materials and technologies for energy-efficient OLED displays and lighting, formed a strategic alliance with Plextronics, Inc., maker of printed electronics technologies, to accelerate the development and commercialization of solution-based organic light-emitting diode (OLED) material systems. The companies will combine Plextronics’ hole injection and hole transport materials with Universal Display’s phosphorescent OLED emissive layer materials. The aim is to enable OLED manufacturing with ink-jet, nozzle, or other cost-effective, solution-processing techniques

The joint development agreement covers 3 years, and Universal Display made a $4 million investment in Plextronics.

Plextronics’ Plexcore HIL materials for phosphorescent OLED applications were developed with Universal Display for PANL’s UniversalP2OLED platform. The results of that collaboration compelled the companies to expand their joint work to cover hole transport layer materials.

The OLED materials systems will target display and lighting applications.

Plextronics, Inc. is an international technology company that specializes in conductive polymers and printable formulations that enable advanced electronic devices. The company develops customized inks to enhance the performance of OLEDs for next generation displays and lighting applications, lithium ion batteries, polymer metal capacitors, and emerging organic electronic devices. It was founded in 2002 as a spinout from Carnegie Mellon University based upon conductive polymer technology developed by Dr. Richard McCullough. For more information about Plextronics, visit www.plextronics.com.

Universal Display Corporation (Nasdaq: PANL) supplies state-of-the-art, OLED technologies, materials and services for the display and lighting industries. Universal Display licenses its proprietary technologies, including its breakthrough high-efficiency UniversalPHOLED phosphorescent OLED technology that can enable the development of low power and eco-friendly displays and white lighting. The company also develops and offers high-quality, state-of-the-art UniversalPHOLED materials. In addition, Universal Display delivers customized solutions through technology transfer, collaborative technology development and on-site training. To learn more about Universal Display, please visit www.universaldisplay.com.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 12, 2012 — After meeting with various semiconductor manufacturing tool suppliers — Applied Materials, KLA-Tencor, Lam Research, Tokyo Electron, Teradyne and Cymer — at SEMICON West, Terence Whalen, semiconductor equipment sector analyst, Citi and colleagues share impressions on foundry spending plans and tool choices.

Whalen observes that Applied Materials (AMAT) expects a large ($500 million) H2 2012 foundry pushback, lowering its Q3 guidance to the bottom of its range, while other tool suppliers report virtually no change to their foundry customers’ plans. There is no change to TSMC’s aggregate 2012 capacity plan that Citi’s taiwan semiconductor analyst Roland Shu could find, though minor shifts in critical layer configurations could (at least partially) explain how AMAT could see weakness not experienced by other vendors.

Speculation has been “markedly negative” on H2 2012 semiconductor demand, Citi says, including talk of NAND capitulation, skepticism that foundry might weaken, and questions on whether Intel might reduce its blockbuster capex. Meetings at SEMICON West are largely confirming tool suppliers’ suspicions that there will be weak orders in Q3, picking up in Q4. Part of 3Q’s weakness may be amplified by heightened seasonality that arises given higher customer concentration, Whalen says. However, Citi accepts the potential for improving semiconductor demand into Q3, which might strengthen capex in Q4.

Foundry orders will rebound sooner in H2 2012 than NAND, which see increases in H1 2013, Citi predicts. Based on its interviews with Lam Research and others, Citi expects flat or slightly better foundry capex in 2013, driven by steady 28nm deployments.

Some notes on equipment trends:

  • Single-wafer clean is gaining traction as chipmakers performance demands rise (source: Lam Research);
  • Intel’s investment in ASML is likely a move to coordinate supplier timing to chipmaker need on the transition to 450mm wafers;
  • 20nm foundry activity is increasing (source: KLA-Tencor);
  • Tokyo Electron is looking to grow its business in semiconductor packaging (recent NEXX buy) and organic light-emitting diode (OLED) fab.

Read more from Whalen at http://ir.citi.com/%2BnkGI0K%2BGgl2DqdhefgsTRHbYsIMp6NZjGciK%2FrzUDc%3D

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 8, 2012 — Active-matrix organic light-emitting diode (AMOLED) displays have been deployed in small- and medium-size display applications since 2009, pushed by smartphone integration. While large-size AMOLED panels have been used in TVs since Sony’s 11” AMOLED TV debuted in 2008, they currently hold <0.1% market share, according to the new report, “AMOLED TV Development Trend and Competitiveness Analysis,” from Displaybank.

This may change, now that Samsung Electronics and LG Electronics each exhibited 55" AMOLED TVs at the International CES in January 2012.

AMOLED TVs will target the LCD TV market by offering fast response time, higher color gamut, and better contrast ratio. However, AMOLED TVs do carry slightly higher production costs, at least in the initial period of mass production ramp-up, reports Displaybank.

Panel makers such as Samsung Mobile Display (SMD) and LG Display (LGD) will likely drive productivity and performance improvements to large-area AMOLED manufacturing technology. Areas for improvement include the thin-film transistors (TFT), deposition, encapsulation, and more. Materials costs may also fall when AMOLED ramps to higher volumes.

With higher production yields, large-size AMOLED display panels will improve cost competitiveness with LCDs. This will allow AMOLED TVs to lead in global TV growth, hitting 72 million units by 2020 and capturing more than 64% market share in the 45"+ global TV market.

“AMOLED TV Development Trend and Competitiveness Analysis” from Displaybank covers development status by major panel makers, analysis of the competitiveness of performance and process, a forecast of 55" AMOLED panel production costs and TV ASPs, a forecast of AMOLED TV and TV-use panel market (~2020), and a forecast of the overall AMOLED panel market by size/application(~2016). Learn more at http://www.displaybank.com/_eng/research/report_view.html?id=869&cate=

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 6, 2012 — We at Solid State Technology have compiled the best conference reports so far this year, in the lead up to SEMICON West 2012, next week in San Francisco. Be sure to bookmark our SEMICON West 2012 Channel for all the info from that show.

Focus on lithography

@ EUVL workshop: Focus on source power, timing

Dr. Vivek Bakshi, president of EUV Litho Inc., reports on the 2012 EUVL Workshop (June 4-8 in Maui, HI), where attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into HVM.

@ SPIE: The spring of EUVL

Dr. Vivek Bakshi, president of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference. He says that this year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15.

@ SPIE: Intel’s, TSMC’s tool roadmap takeaways

After attending SPIE Advanced Lithography, Barclays Capital came away with a lower lithography tool shipments forecast, more hope for EUV lithography, and expectations of a litho buying spree at Intel.

@ SPIE: eBeam Initiative roadmap

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

 

Focus on yields/productivity

@ ISMI Manufacturing Week: Productivity challenges identified

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

@ The ConFab: Legacy semiconductor fab issues

Bill Ross of ISMI and Joanne Itow of Semico report on the ConFab 2012 Executive Roundtable. Older production facilities face equipment obsolescence; skills obsolescence; scarce availability of parts, software, and support; and equipment capability extension and tool re-use.

@ Lightfair: MOCVD capex disobeys fab utilization rules

Barclays Capital analysts attended Lightfair International and gleaned several trends in LEDs and OLEDs for lighting, including an interesting phenomenon around MOCVD utilization rates and new orders.

@ ISS 2012: Profitability threatened

Industry leaders at the 35th annual SEMI Industry Strategy Symposium (ISS) described a perfect storm of cost, complexity and uncertainty as the industry struggles with process engineering complexity at sub-28nm nodes, hazy EUV installation schedules, 3D-IC challenges, and planning for a 450mm wafer transition, reports SEMI.

 

Focus on packaging

@ IMAPS Device Packaging: Vias and more vias

IMAPS Device Packaging’s papers were recently released, and blogger Dr. Phil Garrou shares highlights from SSEC, Asahi Glass, Hitachi Chemical, and others. He also takes a look at Fujitsu’s low temp Cu-Cu bonding technology.

@ The ConFab: A 2.5D/3D interconnect supply chain or ecosystem?

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of front-end fab at the foundry and back-end fab at the packaging and test house is falling apart. Amkor, GLOBALFOUNDRIES, ASE, and Xilinx’s presenters offer ideas.

@ IITC: From TSV to back-end memory work

The 15th IITC took place in San Jose, CA. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back-end memory, blogger Michael Fury reports.

@ ECTC: 3D integration and TSVs

A main focus of this year’s Electronic Components and Technology Conference (ECTC), held in San Diego, was 3D integration and TSVs, blogs Pete Singer, editor-in-chief.

 

Focus on emerging technologies

@ MEMS Executive Congress Europe: MEMS everywhere

Karen Lightman, the Managing Director of the MEMS Industry Group, blogs from the MEMS Executive Congress Europe in Zurich, Switzerland.

@ MRS Spring: Organic electronics

Fury reports from the MRS Spring 2012 meeting in San Francisco. Highlights: electronic skin, energy storage with nanowires, printable inks, gas sensing, inkjet printing, semiconductor polymers for organic devices, CNTs, OFETs, touch screen fabrics, and the coffee breaks.

@ SensorsCon: MEMS, networks, and camera pills

SensorsCon 2012 was held March 21 at the Santa Clara TechMart Center, in conjunction with the annual meeting of the ISQED. This is the first such meeting focusing on sensor technology, with about 60 attendees. As a design conference, the focus was more on system design and architecture, reports Fury.

@ Lightfair China: Low LED prices, subsidy’s role, and MOCVD update

Guangzhou (China) Lightfair Conference is the biggest lighting fair in Asia. Citi analyst Timothy Arcuri notes trends in LED manufacturing and pricing ahead of China’s subsidy program going into effect.

SUMMARY:

Colin Moorhouse, Coherent Inc., discusses ultrafast (picosecond) industrial lasers for high-precision manufacturing of smartphone displays and other leading-edge devices. These tools can help reduce the size, weight, and material cost of devices; the lasers’ unique operating regime (megawatts of peak power) enables clean cutting and patterning of sensitive materials and thin films used in a number of novel devices as well as micromachining of wide bandgap, "difficult" materials such as glass. In several instances, the picosecond laser is replacing multi-step photolithography with a single-step direct-write laser process; in other cases it supplants traditional cutting/drilling processes. With a choice of near-IR, green, or ultraviolet output, these lasers can micromachine almost any material bringing new technologies to market successfully.

FIGURE. Schematic of a basic OLED structure.

The article covers patterning organic light-emitting diodes (OLEDs), thin-film solar cells, and bioabsorbable stents as well as laser drilling of transparent materials. Read it at our sister publication, Industrial Laser Solutions, here: http://www.industrial-lasers.com/articles/2012/05/picosecond-laser-enables-new-high-tech-devices.html

July 3, 2012 — Technology for making electronic circuits with organic materials, non-vacuum processes, and flexible substrates has made striking progress, but it’s been a challenge to find applications where the new technologies — and the whole new ecosystems needed to integrate them into useful products — offer must-have advantages.  Flexible and large-area organic light-emitting diode (OLED) displays and lighting offer perhaps the largest tangible opportunity, and hybrid products using solution-processed layers and flexible substrates are starting to come to market.

Flexible active matrix OLED (AMOLED) displays will enter mobile phone applications by the end of 2012, and may show up in tablet applications in 2013, reports Jennifer Colegrove, NPD DisplaySearch VP of Emerging Display Technologies. OLED technology advanced rapidly in 2011, a trend that NPD DisplaySearch forecasts will continue through this decade in its recent OLED Technology Report. Progress has been made in organic materials, color patterning, electronic driving methods, and encapsulation. Enthusiasm has increased recently as Samsung Mobile Displays has started manufacturing AMOLED displays in a Gen 5.5 fab, and both Samsung and LG Display have announced plans to build Gen 8 (2200 x 2500mm) fabs, while several other suppliers entered or re-entered OLED display manufacturing, including AUO, CMI, IRICO, Tianma, and BOE. Also read: Samsung Mobile Display sources OLED materials from Novaled

These technology improvements and investments indicate that AMOLED will compete in larger-size applications, such as in TV and mobile PCs, within 2 years. Samsung released a 7.7” AMOLED tablet PC in December 2011, more tablet and other mobile PCs are expected in 2012. Both Samsung and LG are expected to bring 55” AMOLED TV to market in 2012. Also read: Sony, Panasonic combine OLED manufacturing expertise

However, the ability to scale OLED display manufacturing to fabs larger than the current Gen 5.5 has yet to be demonstrated, and the cost of larger panels remains in question. It is not clear if vacuum deposition of the organics at the larger size will be economical, or if printed layers will be practical to reduce costs. Challenges remain for printed and flexible processes, for example, organic material life time is still shorter with solution processes than with chemical vapor deposition.

“I think OLED technology has made good progress and is ready to enter large-size applications, but low-cost manufacturing for large sizes is still a challenge,” says Colegrove. She’ll discuss OLED technology trends, include printed and flexible OLEDs, as well as provide the most recent market forecast in her presentation at SEMICON West.

Panasonic uses printed hole injection layer, continuous evaporation process

On the OLED lighting side, more efficient mass production process technologies developed in part in the Japan’s NEDO research project are enabling production of OLED lighting with brightness of up to 1000 cd/m2 with efficiency of some 130 lm/W in the lab, reports Takuya Komoda, Research Director at Panasonic Corp’s Core Technologies Development Center. He will discuss this technology enabling the Panasonic-Idemitsu OLED Lighting joint venture to produce commercial 2mm thin, ~8cm2 OLED panels with integrated electronics for easy integration by lighting designers, with warm 3000K light and good color rendering (CRI>90), with 10,000 hour life (70% lumen maintenance.)

The manufacturing process is made economical by coating the initial hole injection layer with a slot die printer, and depositing the emitters with a new hot-wall continuous evaporation tool developed with Choshu Industries that increases the deposition rate to 10nm/second and significantly cuts down waste of the expensive emitter materials.

The company got best lifetimes of 150,000 hours to half decay at 1000cd/m2 and 55lm/W efficacy with a fluorescent/phosphorescent OLED system on a light outcoupling substrate.  Using all phosphorescent emitters improved efficacy to over 80 lm/W, while maintaining half decay lifetime at a still respectable 30,000 hours.  The 130 lm/W efficacy was achieved with a 1cm2 OLED fabricated on a hemispherical high-refractive lens.

IMEC uses low-temp metal-oxide TFTs for flexible OLED displays, RFID tags

Imec’s approach to making flexible AMOLED displays laminates a flexible PEN substrate to a temporary carrier, then builds a stack that includes a moisture barrier, backplane with metal-oxide TFTs fabricated at 150°C, an interlayer dielectric, a top-emitting OLED, and a thin-film top encapsulation, reports Serge Biesemans, imec VP of wafer technologies and smart systems, who plans to talk about the new materials and process technologies developed for this stack. Imec’s research program with partner TNO in the Holst centre aims at overcoming the challenges towards high-volume manufacturing of flexible active-matrix OLED displays on flexible plastic foils: high resolution, low power consumption, large area, outdoor readability, flexibility and light weight.

Imec is also making thin film transistors on flexible plastic, combining the n-type transistors of the metal oxide AM backplane with organic p-type semiconductors to make RFID circuits and display line drivers. For the RFID tag, a complementary hybrid organic-oxide technology was used, combining a 250°C solution-processed n-type metal-oxide TFT with typical charge carrier mobility of 2cm2/Vs with a pentacene p-type TFT with mobility of up to 1cm2/Vs. A high-k Al2O3 dielectric was used, which increases the transistors’ current drive.

Imec, Holst Centre and their partners in the EU FP7 project ORICLA have fabricated an RFID circuit in this low-temperature thin-film technology that allows reader-talks-first communication, by transmitting identification data when the reader transmits power to the tag. In retail applications, many tags will usually try to contact the reader at the same time when powered by the RFID reader, requiring an effective anti-collision mechanism, which is complicated and slows reading time. Reader-talks first tags could more simply be used to provide buyers with information on price, characteristics, or freshness, or to allow vendors to implement automated billing and inventory management.

Learn more about the progress of these technologies in markets that matter at the SEMICON West program on printed/flexible electronics, July 12, in San Francisco, Practical Plastic Electronics: Bringing Disruptive Flexible and Organic Materials into Volume Electronics Manufacturing.

Read Paula Doe’s other SEMICON West previews:

Guide to LED and OLED programs at SEMICON West

Guide to MEMS at SEMICON West 2012

MEMS manufacturing changes with HV consumer apps

Maturing MEMS sector looks at ways to work together

For more information on attending or exhibiting at SEMICON West 2012, please visit www.semiconwest.org.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

July 2, 2012 – BUSINESS WIRE — Samsung Mobile Display (SMD), a global leader in the display industry, will purchase dopant materials used in the transport layers of its active-matrix organic light-emitting diode (AMOLED) display modules from Novaled, OLED technologies provider. Novaled will also provide its proprietary PIN OLED technology to SMD for use in the production of SMD’s AMOLED display modules. The licensing and purchase agreement covers several years.

Since 2005, Samsung has cooperated with Novaled in the field of technologies and materials for advanced OLED products. Novaled’s latest agreement with SMD extends the use of Novaled’s high-performance OLED materials and proprietary PIN OLED technology to SMD’s next generation of mobile AMOLED devices.

Novaled has developed several doping and transport materials that can be used in OLEDs to further enhance the advantages of Novaled’s PIN OLED technology. As a result, these OLEDs have very low driving voltage and high substrate compatibility, while maintaining high power efficiency and long lifetime. The company recently debuted a class of n-doped electron transport layer (ETL) materials for OLED TV and mobile displays that could double lifetimes.

“Novaled materials are designed to deliver customer benefits, especially for mass-produced devices,” said Gildas Sorin, CEO of Novaled, adding that building “successful and long-lasting business relationships with leading OLED display and lighting manufacturers” is part of his company’s strategy. Sorin is to the left in the above photo.

“We are focused on developing innovative and state-of-the-art OLED technology, and so we have maintained a close cooperation with Novaled,” said S.I. Cho, president and CEO of Samsung Mobile Display (to the right in the above photo, shaking hands with Sorin).

Novaled AG performs research, development and commercialization of technologies and materials that enhance the performance of organic light-emitting diodes (OLEDs) and other organic electronics. Commercially active since 2003, Novaled was founded in 2001 as a spin-off of the Technical University and the Fraunhofer Institute of Dresden. For more information, please visit www.novaled.com.

Samsung Mobile Display Co., Ltd. (SMD) was established in January 2009 as a core Samsung company that provides cutting-edge display solutions based on technologies like active matrix organic light emitting diode (AMOLED) and liquid crystal display (LCD). For more information about Samsung Mobile Display, visit www.samsungsmd.com.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!