Category Archives: Packaging and Testing

ams (SIX: AMS), a worldwide supplier of high-performance sensor and analog solutions, announces the completion of the transaction to acquire 100% of the shares in Heptagon and the related capital increase of 11,011,281 new shares from authorized capital excluding subscription rights. ams announced on 24 October 2016 that the company had signed an agreement to acquire Heptagon, a developer of high performance optical packaging and micro-optics.

The upfront consideration for the transaction includes approximately USD 64 million in cash, 5,450,586 ams shares from currently held treasury shares as well as 11,011,281 new shares from authorized capital. The capital increase creating the 11,011,281 new shares from authorized capital was registered with the commercial register today and the shares are admitted to trading on the SIX Swiss Exchange from tomorrow, 25 January 2017, onwards. The total number of shares outstanding of ams AG will therefore be 84,419,826 no par value bearer shares with a calculated nominal value of EUR 1.00 per share.

Following the registration, the selling shareholders of Heptagon hold approximately 19.5% of the total registered share capital of ams. They are subject to a market standard, staggered lock-up obligation ending in the second quarter 2018.

Following economic leaders meeting in Switzerland for the World Economic Forum, electronics manufacturing executives will attend Europe’s SEMI Industry Strategy Symposium (ISS Europe) in Munich, Germany on 5-7 March. Hosted by SEMI Europe, the Symposium brings together leading analysts, researchers, economists, and technologists for critical insights on the forces shaping the electronics manufacturing supply chain. ISS Europe 2017 is the three-day flagship business event that discusses how to cope with the rapid changes and growing challenges of the digital revolution.

“ISS Europe is the leading European strategic platform where industry thought leaders across the electronics manufacturing value chain share the latest analysis and outlooks.  The conference covers global industry trends and challenges and opportunities from innovation, materials, design, and manufacturing – with a focus on end-applications in automotive, health care and smart manufacturing,” said Laith Altimime, president, SEMI Europe.

Twenty industry leaders will present insights into the current market developments in automotive, smart manufacturing, and health, including:

  • TSMC Europe: Maria Marced, president, High Performance Applications to Drive Innovation and Collaboration
  • Mentor Graphics: Wally Rhines, CEO, Semiconductor Consolidation versus Specialization: What’s the Driving Force for Mergers?
  • AUDI AG: Berthold Hellenthal, Robust Design / Komponentenerprobung Elektronik, Cross-Industry Collaboration Networks Accelerate Innovations
  • Dresden University Hospital: Christopher Piorkowski, professor at the Heart Center, Digital Health in Cardiovascular Medicine: Patients, Sensors, and Clinical Care
  • Bosch: Birte Lübbert, senior VP, Smart Manufacturing by Bosch in Reutlingen Plant 2
  • Imec: Ann Stegen, executive VP, Transformation into a 7nm Logic Node Solution with Fundamental Advantages

Join Europe’s strategic thinkers and business drivers at ISS Europe 2017 in Munich (Germany) from March 5-7, 2017!  Register here. For more information visit: www.semi.org/eu/iss-europe-2017

Fueled by lightning-fast demand for ubiquitous connectivity, the number of connected Internet of Things (IoT) devices globally will jump by 15 percent year-over-year to 20 billion in 2017, according to new analysis from IHS Markit (Nasdaq: INFO).

In a free new report entitled “IoT Trend Watch 2017,” IHS Markit technology analysts have identified four key trends that will drive the IoT this year and beyond. Increasingly, the report says, businesses see the IoT as a tremendous opportunity to create unique value propositions by linking disparate systems of connected devices that range from multiscreen content sharing to smart city networks.

IHS Markit defines IoT as a conceptual framework, powered by the idea of embedding connectivity and intelligence into a wide range of devices. “These internet-connected devices can be used to enhance communication, automate complex industrial processes and provide a wealth of information that can be processed into useful actions – all aimed at making our lives easier,” said Jenalea Howell, research director – IoT connectivity and smart cities for IHS Markit.

According to the report, the industrial sector — led by building automation, industrial automation and lighting — will account for nearly one half of new connected devices between 2015 and 2025.

IHS Markit has named these four trends as leading the IoT evolution in the coming years:

Trend #1 – Innovation and competitiveness are driving new business models and consolidation

  • To date, the focus on IoT monetization has rightly revolved around the way in which suppliers earn revenue selling components, software or services to IoT application developers. Increasingly, however, the focus is shifting to the IoT developers themselves and how they will monetize new streams of data delivered by their IoT deployments.
  • A wide range of monetization models are being tested, reflecting the fragmented nature of the IoT market across numerous vertical industries. Successful models will revolve around “servitization” and closer, ongoing relationships with end customers, the report says.

Trend #2 – Standardization and security are enabling scalability

  • With the high growth in IoT deployments and much hype surrounding the promise of the IoT marketplace, scaling the IoT is highly dependent on two factors: first, the pace at which devices are connected and second, the ability to manage a large number of devices.
  • Currently, diverse standards and technologies make it difficult to evaluate the many technology options available. Stakeholders also must take a holistic, end-to-end view of securing systems comprehensively and move beyond focusing only on device security.
  • By 2020, the global market for industrial cybersecurity hardware, software and devices is expected to surpass $1.8 billion as companies deal with new IoT devices on business networks as well as a new wave of mobile devices connected to corporate networks.

Trend #3 – Business models are keeping pace with IoT technology

  • The methods used to monetize the IoT are almost as diverse as the IoT itself. Many pioneers of the IoT sold products to build it. That is still happening, of course, but now there is a shift to reaping the benefits of the data that’s been created.
  • An overabundance of business models are being tested to determine which models work and for which applications. Advertising, services, retail and big data are just a few of the areas that have spawned many innovative experiments in monetization. In the coming years, the pace of innovation will slow as successful business models are identified.

Trend #4 – Wireless technology innovation is enabling new IoT applications

  • Advances in wireless technologies will continue to extend the IoT at both the low and high ends. At the low end, low-power wide-area network (LPWAN) promises low cost, low power and long range, connecting millions of devices that previously could not be unified in a practical way. At the high end, 802.11ad makes it possible to wirelessly connect very high performance applications such as 4k video.
  • Beyond 2020, 5G has the potential to address new, mission-critical use cases, particularly where mobility is essential. By 2020, IHS Markit expects around two billion device shipments by integrated circuit type will feature integrated cellular technology.

Microsemi Corporation (Nasdaq: MSCC), a provider of semiconductor solutions differentiated by power, security, reliability and performance, today announced it was named M2M Network Equipment Technology Company of the Year by the inaugural IoT Breakthrough Awards. The mission of the awards program is to honor excellence and recognize the creativity, hard work and success of Internet of Things (IoT) companies, technologies and products.

Microsemi was recognized for developing innovative products and solutions which enable both wired and wireless connectivity among devices in machine-to-machine (M2M) environments and enhance the ability of original equipment manufacturers (OEMs) to develop leading-edge solutions in emerging IoT markets. The company’s Ethernet and Power-over-Ethernet (PoE) products enable faster market adaptation of new IoT applications, and its systems product portfolio provides unique solutions to M2M network challenges while offering cost-efficient and simple upgrade procedures.

“Microsemi is honored to be recognized by the IoT Breakthrough Awards as the first M2M Network Equipment Technology Company of the Year recipient,” said Roger Holliday, senior vice president and general manager at Microsemi. “Our team prides itself on our ability to tackle the most difficult challenges facing those in the IoT market as the industry addresses growing demand for reliable, efficient, scalable and cost-effective infrastructure.”

The IoT Breakthrough Awards program, which drew over 2,000 entries this year, is solely dedicated to providing recognition for the best products, people, services, technologies and companies focused on the IoT. All entries were judged by an independent panel of experts representing a range of mid to senior level experienced professionals, with hands-on experience in IoT product management and development, engineering, sales and marketing and more.

“Microsemi is a leading developer of technology that provides significant power to the infrastructure of industrial IoT,” said James Johnson, managing director at IoT Breakthrough. “The judges were particularly impressed with the company’s indoor and outdoor PoE solutions and its contribution to the highly scalable deployment of wireless LANs, mesh access points, small cells, IP cameras and microwave point-to-point links that support today’s innovative M2M applications.”

From the ground-breaking research breakthroughs to the shifting supplier landscape, these are the stories the Solid State Technology audience read the most during 2016.

#1: Moore’s Law did indeed stop at 28nm

In this follow up, Zvi Or-Bach, president and CEO, MonolithIC 3D, Inc., writes: “As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.”

#2: Yield and cost challenges at 16nm and beyond

In February, KLA-Tencor’s Robert Cappel and Cathy Perry-Sullivan wrote of a new 5D solution which utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

#3: EUVL: Taking it down to 5nm

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years, SEMI’s Deb Vogler reported in May.

#4: IBM scientists achieve storage memory breakthrough

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

#5: ams breaks ground on NY wafer fab

In April, ams AG took a step forward in its long-term strategy of increasing manufacturing capacity for its high-performance sensors and sensor solution integrated circuits (ICs), holding a groundbreaking event at the site of its new wafer fabrication plant in Utica, New York.

#6: Foundries takeover 200mm fab capacity by 2018

In January, Christian Dieseldorff of SEMI wrote that a recent Global Fab Outlook report reveals a change in the landscape for 200mm fab capacity.

#7: Equipment spending up: 19 new fabs and lines to start construction

While semiconductor fab equipment spending was off to a slow start in 2016, it was expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

#8: How finFETs ended the service contract of silicide process

Arabinda Daa, TechInsights, provided a look into how the silicide process has evolved over the years, trying to cope with the progress in scaling technology and why it could no longer be of service to finFET devices.

#9: Five suppliers to hold 41% of global semiconductor marketshare in 2016

In December, IC Insights reported that two years of busy M&A activity had boosted marketshare among top suppliers.

#10: Countdown to Node 5: Moving beyond FinFETs

A forum of industry experts at SEMICON West 2016 discussed the challenges associated with getting from node 10 — which seems set for HVM — to nodes 7 and 5.

BONUS: Most Watched Webcast of 2016: View On Demand Now

IoT Device Trends and Challenges

Presenters: Rajeev Rajan, GLOBALFOUNDRIES, and Uday Tennety, GE Digital

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

The Electronic Components and Systems for European Leadership (ECSEL) Joint Undertaking announced the Lab4MEMS project as the winner of its 2016 Innovation Award during the European Nanoelectronics Forum, in Rome, Italy.

At its launch in January 2014, Lab4MEMS was identified as a Key Enabling Technology Pilot-Line project for next-generation Micro-Electro-Mechanical Systems (MEMS) devices augmented with advanced technologies such as piezoelectric or magnetic materials and 3D packaging to enhance the next generation of smart sensors, actuators, micro-pumps, and energy harvesters. These technologies were recognized as important contributors to future data-storage, printing, healthcare, automotive, industrial-control, and smart-building applications, as well as consumer applications such as smartphones and navigation devices.

In accepting the award, Roberto Zafalon, General Project Coordinator of Lab4MEMS and the European Programs Manager in R&D and Public Affairs for STMicroelectronics Italy said, “The ECSEL Innovation Award highlights the excellent results the Lab4MEMS team achieved through the project’s execution and the high impact of its successes. In particular, Lab4MEMS developed innovative MEMS solutions with advanced piezoelectric and magnetic materials, including advanced 3D Packaging technologies.”

In coordinating the €28m[1], 36-month Lab4MEMS project, ST led the team of twenty partners, which included universities, research institutions, and technology businesses across ten European countries. ST’s MEMS facilities in Italy and Malta contributed their complete set of manufacturing competencies for next-generation devices, spanning design and fabrication to test and packaging to the project.

Lab4MEMS’ devices, technologies, and application improvements emphasized:

  • Micro-actuators, micro-pumps, sensors, and energy scavengers integrated on silicon-based MEMS using piezoelectric thin-films (PZT), for applications in Data Storage, Printing, Health Care, Automotive, Energy Scavenging, and Autofocus Lenses.
  • Magnetic-field sensors, for applications in consumer applications such as GPS positioning, indoor navigation, and mobile phones.
  • Advanced packaging technologies and vertical interconnections, including flip chip, Through Silicon Via (TSV) or Through Mold Via (TMV) for full 3D integration, which could be used in Consumer and Healthcare applications such as body-area sensors and remote monitoring.

All of these successes contributed to the Lab4MEMS project and are available to benefit the contributors. These participants were Politecnico di Torino (Italy); Fondazione Istituto Italiano di Tecnologia (Italy); Politecnico di Milano (Italy); Consorzio Nazionale Interuniversitario per la Nanoelettronica (Italy); Commissariat à l’Energie Atomique et aux énergies alternatives (France); SERMA Technologies SA (France); STMicroelectronics Ltd. (Malta); Universita ta Malta (Malta); Solmates BV (Netherlands); Cavendish Kinetics BV (Netherlands); Okmetic OYJ (Finland); VTT (Finland); Picosun OY (Finland); KLA-Tencor ICOS (Belgium); Universitatea Politehnica din Bucuresti (Romania); Instytut Technologii Elektronowej (Poland); Stiftelsen SINTEF (Norway); Sonitor Technologies AS (Norway); BESI GmbH (Austria).

The SATS market is anticipated to have substantial growth mainly driven by the increasing costs for advanced packaging solutions, according to a report by Persistence Market Research. However, with increasing competition, the price is expected to decrease in the foreseeable future. Major drivers propelling the market include: increasing demand for mobility and connectivity in consumer electronic products and increasing demand of advanced electronic systems in the automobiles Additionally, increasing demand for mobility and connectivity in the consumer electronic products and ability of SATS providers to facilitate a more efficient supply chain and reduced time-to-market are few other factors propelling the market growth. SATS providers offer additional features over in-house testing and packaging capabilities which is one of the primary factors for Integrated Device Manufacturers (IDM) to outsource the SATS services. In addition, the global market of semiconductor assembly and test services has been witnessing the emergence of strategic alliances and collaborations among the leading providers and manufacturers due to rising financial pressures.

Currently, more than 50% of the market accounted for Outsourced Semiconductor Assembly and Testing (OSAT) services, and this fraction is expected to increase during the forecast period. Although many of the low-end SATS providers are competing on price-driven products, the leading players including ASE group, STATS ChipPAC Ltd., Amkor technology Inc. and Siliconware Precision Industries Co., Ltd (SPIL) are focusing on obtaining the competitive edge by targeting the advanced expensive packages.

To understand and assess the opportunities in this market, the report is categorically split into three sections, namely, market analysis by services, application, and region. The services section is further sub-segmented on the basis of interconnecting technologies. The report analyzes the global semiconductor assembly and testing services market in terms of market value (US$ Mn).

The report starts with an overview of the global semiconductor assembly and testing services market and usage of these services in various applications across the globe. In the same section, PMR covers the global semiconductor assembly and testing services market performance in terms of revenue. This section includes PMR’s analysis of key trends, drivers, and restraints from supply and demand perspectives. Impact analysis of key growth drivers and restraints, based on the weighted average model, is included in this report to better equip clients with crystal clear decision-making insights.

The Semiconductor Assembly and Testing Services market is segmented as follows:

  • By Services
  • By Application
  • By Region

On the basis of services, the SATS market is segmented into:

  • Assembly & Packaging Services
  • Testing Services

Of these, the assembly & packaging services accounted for the highest share of the overall semiconductor assembly and testing services market in 2014. The semiconductor assembly and testing services market is mainly driven by factors such as increasing adoption of consumer electronics products such as tablet PCs and wearable devices (smart watches, head mounted devices, fitness equipment etc. in the developed economies.

The section that follows analyzes the market on the basis of packaging solutions and presents the market size in terms of value for the forecast period.

On the basis of packaging solutions, the SATS market is segmented as follows:

  • Copper Wire and Gold Wire Bonding
  • Copper Clip
  • Flip Chip
  • Wafer Level Packaging
  • TSV

Of the above-mentioned segments, the wafer level packaging segment is expected to increase at the highest CAGR during the forecast period. However, the copper wire and gold wire bonding segment is expected to dominate the semiconductor assembly and testing services market in terms of value, by 2021.

On the basis of application the SATS market is segmented as follows:

  • Communication
  • Computing and Networking
  • Consumer electronics
  • Industrial
  • Automotive electronics

Of the aforementioned segments, the consumer electronics segment is expected to expand at the highest CAGR during the forecast period. However, the communications application segment is expected to dominate the semiconductor assembly and testing services market in terms of value, by 2021. Leading market participants are investing heavily in R&D activities in order to innovate new advanced packaging solutions that would cater to the growing demand of miniaturization and low power requirements. For instance, in May 2014, STATS chip PAC (now JCET) introduced innovative FlexLine Manufacturing line. This manufacturing line can process multiple silicon wafer diameters, and produce both fan-in and fan-out wafer level packages on it. Additionally, it pioneered the Through Silicon via (TSV) enabled 3D chip stacking technology in August 2013.

The report also analyzes the market on the basis of region and presents the market size in terms of value for the forecast period.

Regions covered in the report are as follows:

  • North America
  • Asia Pacific Excluding Taiwan
  • China
  • Japan
  • Singapore,
  • Thailand
  • The Philippines
  • Taiwan
  • Europe
  • The Middle East & Africa
  • Latin America

Of the aforementioned segments, the Taiwan market is expected to expand at the highest CAGR during the forecast period and is expected to remain largest market share, out of the total semiconductor assembly and testing services market in 2021.

Semiconductor Manufacturing International Corporation, the largest and most advanced foundry in mainland China, and The Institute of Microelectronics of the Chinese Academy of Sciences announced the signing of a cooperation agreement for a MEMS R&D foundry platform to jointly develop MEMS sensor standard processes and build a complete MEMS supply chain.

According to the agreement, SMIC and IMECAS will work together closely to take advantage of IMECAS’s experiences in MEMS Sensor design and packaging technology design and SMIC’s standardized process technology platforms, industry and market influence. Starting with the development of a MEMS environmental sensor and combining the features of other types of MEMS Sensors, SMIC and IMECAS will collaborate to create a platformbased standard as well as mass production technologies to shorten the development cycle from design to production, thus helping the MEMS industry grow more effectively and efficiently.

“SMIC’s R&D team has made a lot of achievements in developing new sensor technology platforms and introducing new customers. SMIC is willing to open our platforms to support commercialized production and the R&D of universities and research institutions,” said Dr. Tzu-Yin Chiu, Chief Executive Officer and Executive Director of SMIC. “SMIC and IMECAS have cooperated in numerous logic process development projects. This time we will expand our collaboration and promote the R&D of complete standardized MEMS sensor technologies to help integrate and improve the MEMS supply chain.”

Ye Tianchun, Director of IMECAS, visited SMIC’s middle-end production line of MEMS sensors and said, “Through the cooperation between SMIC and IMECAS, we can exploit our advantages and jointly build an open MEMS technology service platform and an electronic information integration platform for the MEMS supply chain. With the integration of design, manufacturing, packing, testing, public platform and venture investment, we can form a supply chain ecosystem and support the development of a global as well as domestic Chinese MEMS industry.”

MicroVision, Inc. (NASDAQ:MVIS) and STMicroelectronics (NYSE:STM) today announced that they plan to work together to develop, sell, and market Laser Beam Scanning (LBS) technology.

The companies anticipate cooperating closely on market development efforts that will include joint sales and marketing activities for LBS solutions. In addition to the pico projection and heads-up display (HUD) markets that both companies are currently addressing with their LBS solutions, ST and MicroVision anticipate targeting emerging markets and applications including virtual and augmented reality (VR, AR), 3D sensing and Advanced Driver Assistance Systems (ADAS).

In addition, MicroVision and ST anticipate exploring options to collaborate on future technology development including a joint LBS product roadmap. This cooperation would combine the process design and manufacturing expertise of ST with the LBS systems and solutions expertise of MicroVision.

“Working with MicroVision, our goal is to build on our matched skills, shared vision, and commitment to grow LBS-enabled markets to open up many opportunities for both companies,” said Benedetto Vigna, Executive Vice President of the Analog and MEMS Group of ST. “This relationship will position ST to pursue all of the growth opportunities for LBS and the complementary power, sensing, and control components.”

“Teaming up with ST, a world leader in its field, is important for MicroVision both for ST’s expertise in semiconductor technology and its global customer reach,” said Alexander Tokman, president and CEO of MicroVision. “Combining ST’s expertise in the development and manufacture of key components for LBS scanning engines with MicroVision’s proprietary system, engine, and applications knowledge, and intellectual property can be highly advantageous for marketing LBS solutions to a wide array of companies for numerous applications.”

The companies have an existing working relationship on production of MicroVision components. ST manufactures MicroVision’s current-generation MEMS die based on MicroVision’s design. ST also manufactures one of the ASICs sold by MicroVision.

IC Insights will release the 2017 edition of its IC Market Drivers Report later this month.  The newly updated report reviews many of the end-use system applications that are presently impacting and that are forecast to help propel the IC market through 2020. IC Market Drivers 2017 shows that the market for automotive electronic systems is expected to display the strongest cumulative average growth rate (CAGR) through 2020, at 4.9%, highest among the six main electronic system categories (Figure 1). Safety and convenience systems are essential features that consumers look for and want in their new car.  Automatic emergency braking, lane departure/blind spot detection systems, and backup cameras are among the most desired systems.  For semiconductor suppliers, this is good news as analog ICs, MCUs, and a great number of sensors will be required for these and other automotive systems throughout the forecast.

Figure 1

Figure 1

Other electronic system and IC market highlights from the 2017 IC Market Drivers Report include the following.

•    Although the automotive segment is forecast to be the fastest growing electronic system market through 2020, its share of the total IC market was only 7.9% in 2016 and is forecast to remain less than 10% throughout the forecast period.

•    Industrial/Medical/Other electronic systems are forecast to enjoy the second-fastest growth rate (4.3%) through 2020 as wearable health devices, home health diagnostics, robotics, and systems promoting the Internet of Things help drive growth in this segment.  Analog ICs are forecast to hold 49% of the industrial/medical/other IC market in 2016.

•    Communications became the largest end-use market for ICs in 2013, surpassing the computer IC market.  Asia-Pacific is forecast to represent 67% of the total communications IC market in 2016; 70% in 2020.

•    The consumer electronics system market is forecast to display 2.8% CAGR through 2020.  The logic segment is forecast to be the largest consumer IC market throughout the forecast.  In total, the consumer IC market is expected to register a 2.3% CAGR through this same time period.

•    The worldwide government/military IC market is forecast to be $2.5 billion in 2016, but represent only 0.8% of the total IC market ($290.0 billion).  The Americas region is the largest regional market for military ICs, accounting for 63% of the worldwide military IC market this year.

•    Hit by slowing demand for personal computing devices (desktops, notebooks, tablets), the market for computer systems is forecast to show the weakest growth through 2020.  The total computer IC market is forecast to decline 2% in 2016 following a 3% drop in 2015.  Asia-Pacific is forecast to hold a 66% share of the computer IC market in 2016 and a 71% share in 2020.