Category Archives: Packaging and Testing

mckie-memsstarTony McKie, CEO, memsstar, Ltd.

Looking at the global MEMS market, industry experts are predicting double-digit device growth in the next three to five years. How that translates into capital equipment spending is yet to be determined. MEMS growth continues to be driven by consumer electronics, mobile and handheld applications. Significant additional growth will come from the Chinese mobile market, which could account for as much as 30% of overall MEMS spending for mobile and handheld applications.

There are ever-increasing opportunities for low-cost MEMS within the consumer market, which will drive innovation in the coming years. For example, not everyone needs a high-end microphone on their handheld or mobile device, so there remain opportunities to provide low-cost MEMS for these applications. Even a state-of-the-art smartphone has room for both low-cost and high-cost MEMS options, representing opportunity in a very cost-sensitive market.

Regarding new applications for MEMS, the market is limited only by people’s imagination. For the sensor market, innovation will come down to how we integrate sensors onto new platforms. This results in MEMS being incorporated further into people’s everyday activities; for example demand for sensors in the sports and personal health industries will grow significantly.

Challenges remain. In a cost-sensitive market, manufacturers will demand efficient processing with high yields compatible with a wide range of standard films and materials. This will allow MEMS devices to be manufactured using standard equipment and processes, thereby minimizing cost.

While MEMS don’t follow the demands of Moore’s Law, increasing complexity and size will demand more advanced processing capability and performance focused on cost-effective volume manufacturing.

<<Previous   1   2   3   4   5   6   7   8   9   10    Next>>

By Jean-Christophe Eloy, President & CEO, Yole Développement

Sensors and optoelectronics will continue to grow faster than the mainstream semiconductor market. We currently expect 9%-13% growth in these sectors in 2013, accompanied by rapid changes in technology and  market structures as well, as the specialty markets become increasingly mature. 

MEMS and image sensors will continue to ride the smart phone and tablet wave, while declining LED bulb prices will start to push the technology towards wider adoption. Demand for power electronics will pick up after its 2012 plunge.

We expect the MEMS market to continue its steady double digit growth with an ~9-11% increase to around $12 billion in 2013, driven of course by increasing adoption of the sensors in the expanding smart phone and tablet business. We expect the penetration of accelerometers into mobile phones and tablets will approach 65% by the end of 2013, with magnetometers nearing 54% and gyroscopes flirting with 34%. Growth will begin to transition from discrete sensors to combinations of two sensors in one package with a single ASIC to reduce costs, and to increasingly sophisticated software solutions that translate the sensor data into usable functions.  These trends are driving changes in the competitive landscape, with a crowd of new players targeting the key 3-axis gyroscope market, others introducing other new MEMS applications for the mobile market, chipset and software suppliers taking over the sensor management tasks, and a diversification of business models as the industry evolves. Healthy increases in the smart phone applications—and in other consumer products increasingly enabled by these low cost, easy to integrate product—will be somewhat countered by slower growth in mature TV and printer applications.

The smart phone and tablet market is also driving healthy growth in CMOS image sensors.  We expect ~11-13% growth to $7.5B in 2013.  Backside illumination and 3D wafer-level packaging technologies will continue to rapidly gain market share, and new stacked sensor architectures will likely follow soon.  These technological changes are bringing changing business models as well, as IDMs who are not vertically integrated up through the systems level will increasingly turn to outsourcing production to foundries. 

In the high brightness LED market, the TV backlighting market has slowed and the solid state lighting market has yet to really take off, but we still expect respectable ~10% growth for packaged LED devices in 2013, as rapidly improving technology, and an excess supply of devices from the backlight side, will drive down LED bulb prices to start to drive wider adoption. We expect LED penetration of the lighting market across all segments  (residential, industrial, outdoor and commercial) to reach about 8% of all lamps sold in 2013, to occupy about 2% of all lamp sockets. As in most of these non CMOS chip sectors, however, demand for more die doesn’t necessarily translate into demand for more manufacturing equipment.  We expect sales of front end equipment in 2013 to recover about 30% from its 2012 dropoff, but not back to peak levels of the boom years. Companies will start adding capacity again in the second half of the year for the ramp up in wafer area needed for the volume lighting market.  But some of that capacity will come from stronger producers acquiring struggling suppliers and their underutilized equipment.

We expect recovering demand for discrete power devices to drive ~10%  growth in power electronics to some $20 billion in 2013, after what we estimate was a ~20% drop  in 2012 as China cut back on its big investments in railroad, solar power and wind power systems.  Going forward, green tech demand from hybrid/electric vehicles, wind and PV systems should again help spur sales in 2014-2015, and then support stable 6%-7% long term growth after 2016. 

December 17, 2012 – Demand for microelectromechanical systems (MEMS) devices, particularly pressure sensors for harsh environments, will grow 20% in 2012 on the way to a 9% CAGR for the next several years, according to an outlook by IHS iSuppli.

Sales for pressure sensors in military and civil aerospace applications will top $35.7M in 2012, up from $29.7M last year, notes Richard Dixon, principal analyst for MEMS & sensors at the firm. Sales are expected to reach $45.5M, or about 9% compound growth over the five-year period. These are two of the markets grouped as "industrial" MEMS applications, which although a far cry from the automotive or consumer segments still offers some growth opportunities and for higher-end (and higher margin) MEMS technologies. The firm projects all "high-value" MEMS sales will top $283.6M in 2012.

Worldwide high-value MEMS pressure sensor revenue forecast
for military & civil aerospace, in US $M. (Source: IHS iSuppli)

MEMS in military and aerospace applications, like many other technologies, are fighting broader macro pressures from an ongoing economic malaise to more specific constraints on the US defense budget and scaled-back (or terminated) programs. But there is optimism here too, for two reasons, iSuppli says: In military usage, there is a continued focus on long-range air and sea power (including drones), surveillance and reconnaissance or smart weapons, all of which involve a lot of electronic content. The US government strategy to transition to a smaller and smarter force will mainly affect reductions in troops and personnel — not weaponry systems and the electronics required therein. And on the aerospace side, the firm cites strong demand for newer fancier/complex aircraft, the EADS Airbus A320 and Boeing Dreamliner 787. Between them they have >2000 orders, which will spur 24% growth in the aviation market this year, iSuppli notes.

So where do MEMS pressure sensors find a home in military and aerospace applications? Aircraft, jets, turboprops, helicopters, engines, and various harsh environments — everything from air data systems to environment and cabin pressure, to hydraulic systems in airframes, to engines and auxiliary power units, and other applications such as doors, oxygen masks, flight tests and structural monitoring. A large jet needs as many as 130 sensors. A luxury airliner has 13 engine pressure sensors and switches; smaller jets can have six or seven. The "full-authority digital engine control" (FADEC) engine controller and related systems, which measure multiple variables including air density and engine temperature for any given flight condition, require 5-6 handful of transducers.

As with other industrial MEMS technologies, such capabilities command a premium price. MEMS pressure sensors in a first-level package for military/aerospace usage "can easily reach or exceed $1,000," according to Dixon. That premium comes from the much higher application demands vs. markets like automotive or consumer — e.g., high accuracy, low drift, and long-term stability in inhospitable environments while battered by high vibration, high G-force impact and acceleration, extreme temperature, and high pressure. The base silicon element has much higher performance requirements, for example, and temperature-range stability is guaranteed over 25 years vs. just 10 in vehicle. "To do all this successfully, in very small package dimensions and low weight, explains why MEMS pressure sensors are able to dominate in military and aerospace applications," Dixon sums.

Key MEMS suppliers for military/aerospace markets include Honeywell (both sensors and complete systems); Kulite Semiconductor Products, which suppliers sensors to various makers of aircraft (Boeing, Airbus, Canadair and Embraer) as well as helicopter and other military programs; and GE Druck, a US firm with a 4-in. silicon line in the UK.

December 6, 2012 – KLA-Tencor says its new fourth-generation LED wafer inspection system achieves greater flexibility, increased throughput, and improved efficiency for inspecting defects and performing 2D metrology in LED applications, as well as MEMS and semiconductor wafers (up to 200mm).

The ICOS WI-2280, built on the company’s WI-22xx platform, supports handling of whole wafers in carriers and diced wafers in hoop ring or film frame carriers, to accommodate multiple media with minimal equipment changeover. An enhanced rule-based binning defect classification and recipe qualification engine enable faster yield learning during production ramps, and improved process control and process tool monitoring strategies. Highly flexible advanced optical modules with dedicated image processing enable high defect capture rate and recipe robustness against varying process background. A frontend-to-backend-of-line connectivity analysis capability — working in conjunction with the company’s Candela LED unpatterned wafer inspection system and Klarity LED automated analysis and defect data management system — delivers a single platform for defect source analysis.

"Increasingly, LED manufacturers are demanding improved detection and classification of yield relevant defects of interest, which enables them to take faster corrective actions to improve their yields at higher inspection throughput. There is also a growing need to boost productivity by enabling faster production recipe creation," stated Jeff Donnelly, group VP for growth and emerging markets at KLA-Tencor. The ICOS WI-2280 "ultimately enabl[es] LED manufacturers to achieve better lumens per watt and lumens per dollar performance."

In addition to LED manufacturing, the system can work in MEMS, semiconductor and compound semiconductor, and power device applications (wafers spanning 2-8 in.), the company says: backend-of-line and post-dicing outgoing quality control or binning; frontend-of-line patterned wafer inspection for baseline yield improvement, rework, excursion control, or overlay; and 2D surface inspection and metrology.

MIPI Alliance has formed an open "Birds of a Feather" (BoF) group that will investigate the requirements related to integrating sensors into mobile systems. The group will address challenges facing the sensor and wireless markets, including a fragmented digital interface landscape, a rapidly expanding number of sensors per device, the varied signals per device, and non-scalable architectures.

The Sensor BoF is open to non-MIPI member companies, insuring the group receives input from the broad sensor and wireless device ecosystem.

As one of the group’s first efforts, MIPI Alliance and the MEMS Industry Group (MIG) conducted a member-based market research study of 37 companies, to discover the present and future needs necessary to meet growing mobile sensor demands. While the need for a sensor interface standard was not immediately apparent, there was a clear gap between the technology of today and the needs of the future. Closing that gap will be a primary focus of the Sensor BoF group.

In the study, the reasons that would lead companies to consider having a new sensor standard include: lower cost, better performance, common sensor protocol, and time to market. Reasons for not considering a new sensor standard include: the cost, time, and complexity needed to develop the standard interface. Click here to see all the results.

Revenues for MEMS sensors

According to IHS iSuppli, revenues for MEMS sensors in mobile handsets and consumer products is expected to top $2.5 billion in 2013, up from $1.1 billion in 2008 and reflecting an 18% CAGR in the 2008-2013 timeframe. MIG Managing Director Karen Lightman also sees strong interest among many of her members in advancing sensor technology in wireless applications.

 "The massive proliferation of sensors in diverse applications is driving the trend toward standardization of sensor interfaces," said Lightman. "With MEMS playing a major role in the adoption of sensors worldwide, we recognize the importance of investigating the requirements needed to address this rapidly growing space."

November 1, 2012 – X-Fab Silicon Foundries says it has become the majority shareholder in German MEMS Foundry Itzehoe GmbH (MFI), the latest in a series of recent moves to raise its profile as a top MEMS foundry.

The MFI business, renamed X-Fab MEMS Foundry Itzehoe, complements X-Fab’s capabilities in its MEMS foundry in Erfurt, adding technologies for microsensors, actuators, micro-optical structures and hermetic wafer-level packaging processes. X-Fab originally signed MFI as a contract MEMS manufacturing partner in Feb. 2011, a deal that expanded its capabilities across a range of 200mm MEMS technologies. Its ownership stake in MFI is now 51%, up from 25.5%.

X-Fab MEMS Foundry Itzehoe will continue its long-term cooperation with the Frauhofer Institute for Silicon Technology‘s (ISIT) MEMS Group. MFI was spun out of ISIT in 2009 and is located within the same wafer fabrication facility in Itzehoe/Germany.

"Our customers will benefit from both an even wider spectrum of available MEMS technologies and from direct access to X-Fab’s manufacturing facilities for CMOS-compatible MEMS processes," stated Thomas Hartung, VP of marketing at X-Fab Group. "X-Fab MEMS Foundry Itzehoe will play an important role in the implementation of our MEMS strategy, and brings us closer to our goal of becoming one of the top three pure-play MEMS foundry providers."

"The rich combination of the versatile MEMS-specific technology portfolio at the Itzehoe-based MEMS foundry and the development expertise of Fraunhofer ISIT greatly expands the capabilities of X-Fab’s technology offering," added Peter Merz, managing director of X-Fab MEMS Foundry Itzehoe. "We are delighted to provide the full bandwidth of MEMS technologies including vacuum and optical wafer-level packaging or TSV backed by X-Fab’s existing and well-proven foundry services. This integration brings X-Fab customers bundled and accelerated product development and manufacturing cycles for micro-machined devices such as inertial sensors, micro-mirrors, and piezoelectric transducers."

Barely a month ago X-Fab pledged to invest $50M over the next three years to support projected growth and a goal of "becoming one of the top three worldwide suppliers of MEMS foundry services." (X-Fab placed 10th in Yole Développement’s 2011 MEMS foundry rankings, surging 33% to roughly $16M in revenues, about $31M shy of No.3 Silex Microsystems.) Among X-Fab‘s other recent MEMS accomplishments:

 

October 16, 2012 – SEMI has extended the call for papers for the 2013 China Semiconductor Technology International Conference (CSTIC) to October 22. Paper abstract guidelines are listed here, and SEMI says there remain "just a few openings" for proposed talks on semiconductor technology and manufacturing. Original and overview papers from integrated device manufacturers (IDMs), equipment/materials suppliers, and academic and research institutes are welcomed.

The CSTIC (March 17-18 in Shanghai), held in conjunction with SEMICON China (March 19-21), is the largest annual semiconductor technology conference for the industry in China. (Last year’s CSTIC featured 100 technical lectures, 300 speakers, and nearly 1000 attendees.) Confirmed plenary speakers for CSTIC 2013 are RPI prof and Nobel Laureate Ivar Giaever, and "father of SOI technology" Ghavam Shahidi, IBM Fellow and director of Silicon Technology at IBM.

The CSTIC program offers 10 symposia covering all aspects of semiconductor technology and manufacturing, including a just-announced new track covering "circuit design, system integration and applications." Other tracks include: device engineering and technology; lithography and patterning; dry & wet etch and cleaning; thin-film technology; CMP, wafer substrate polishing and post-polish cleaning; materials and process integration for device and interconnection; packaging and assembly; metrology, reliability and testing; emerging semiconductor technologies; and advances in MEMS and sensor technologies.

SEMI and ECS are the organizers along with China’s High-Tech Expert Committee (CHTEC) with co-sponsors IEEE, MRS, and the China Electronics Materials Industry Association.

Four of the leading micro- and nanoelectronics regions in Europe are joining forces to form a cluster alliance called “Silicon Europe.” The four groups, Silicon Saxony (Dresden/Germany), DSP Valley (Belgium), Minalogic (Grenoble/France) and Point One (Eindhoven/Netherlands), will be cooperating in research, development and business expertise.

Together they represent about 800 research institutes and companies, which account for more than 150,000 jobs; among the companies are global market leaders such as Philips, NXP, Globalfoundries, Infineon, STMicroelectronics, Schneider Electric und Thales.

This is a three year effort, as shown in the diagram. “We want to set up a joint action plan that is organized between the four clusters,” said Frank Bösenberg, in charge of administration of Silicon Europe, speaking at a press conference in Dresden. “Not only this, in the third year, we also want to start implementing this action plan. It’s not only about creating paper, but doing some action. In addition to this, we want to involve if possible additional European players.”


 “Global competition is tough and investments into European microelectronics are declining”, says Jean Chabbal, Chief Representative and CEO at the French Cluster Minalogic (Grenoble/France). In 2007 only 10% of all worldwide investments into microelectronics, around 28 billion Euro, went to Europe, while about 48% went to Asia. Since 2000 Europe’s market share in the semiconductor industry has dropped from 21 to 16 percent, yet the European microelectronics sector still employs 135,000 people directly along with another 105,000 in its supplier industries. “Europe is home to a number of the world’s best known, and most active regions in the micro- and nanoelectronics industry and the semiconductor industry, more specifically. These clusters, established over many years, with strong consolidated structures from industry, research and local governments, serve all application fields of micro- and nanoelectronics and have access to the most advanced research and key competencies – the European micro- and nanoelectronics sector must take advantage of this leading position and further expand upon it. This is the only way for Europe to maintain its role as a world-renowned leader in technology research and development”, continues Jean Chabbal.

Silicon Saxony (Dresden) is a unique conglomeration of companies with know-how in micro- and nanoelectronics, photovoltaic, organic and printed electronics, energy efficient systems, communications technology and sensor networks. More than 300 cluster partners employ 48,000 people. 

At the cluster Minalogic (Grenoble) 204 cluster partners with more than 39,000 employees develop modern micro- and nanoelectronics and integrated system-on-chip technologies. Their work applies to the sectors energy efficiency, connectivity and mobility, health systems and traditional industries. 

Point-One (Eindhoven) connects 170 cluster partners, who jointly develop solutions for mechatronics, integrated systems, photonics and micro-and nanoelectronics. Their solutions apply to lighting systems, to semiconductor and photovoltaic production and also the mobility, logistics and security branches. 

The 75 partners of the technology cluster DSP Valley (Leuven) are focusing on the development of hardware and integrated software technology for digital signal processing and system-on-chip solutions. 

Silicon Europe calls for a European ICT-Summit

 “Our activities and plans will not end at national borders as they did before – Silicon Europe stands for the common interest of the European microelectronics industry”, explains Peter Simkens, Managing Director at the Belgian Cluster DSP Valley. “However, to be successful in the long run, Silicon Europe and European microelectronics need active political support. We are appealing to all national governments to increase the synchronization of their economic and innovation policy with the European Commission and its guidelines. In order to realize this we are calling for a European micro- and nanoelectronics summit, which – similar to the German IT summit – shall bring together leading actors and decision makers from the European Commission, the national governments and all relevant branch organizations and associations. The European economy needs to expand on its strengths now, if it wants to remain competitive in the global market for the long run.”

Transnational Cluster Alliance as a new impetus

“Silicon Europe stands for a new quality of an European industry policy”, says Thomas Reppe, General Manager of the German Cluster Silicon Saxony. “In close cooperation with regional development agencies and institutes we transfer the cluster concept of Saxony’s Research Cluster for Energy Efficiency ‘Cool Silicon’ – the strong cooperation across organizational and institutional borders – onto a transnational level. Through this new and strong cluster alliance we are securing not only Europe’s current know-how in production of KET relevant technologies, but we are also working together on a strategic technology roadmap, which can serve the European Commission as a template and development guide for future programs.”

Silicon Europe offers a platform for active exchange among the clusters and their nearly 800 members, including internationally leading corporations; more than 75 percent of all partners are small and medium sized businesses. By performing a detailed analysis of each of the four cluster’s main research topics and by synchronizing their activities, previously unused synergies are being utilized.

Europe 2020

By intensifying transnational cooperation of regional research-oriented competence clusters, Silicon Europe will make a substantial contribution to “Europe 2020”, the EU growth strategy for the coming decade. The program’s focus is the advancement of research and development as a basis for a modern and strengthened European society. “With their activities, the European Commission aims at a digital and resource-efficient development – for both of these core goals micro- and nanoelectronics are a decisive factor”, says Eelco van der Eijk, contact person for the high-tech industry at the Dutch Ministry of Economic Affairs.  One of the key words for these activities is ‘smart specialization’ – the EU’s control mechanism to tailor and efficiently distribute development funds in the European technology regions.

Michael Kretschmer, Vice-Chairman of the CDU Parliamentary Group at the German Bundestag, member of the German Bundestag and member of the Committee on Education, Research and Technology Assessment explains his support for the initiative: “The Europe-Cluster of the micro- and nanoelectronics sites is a very important signal for both German and European politics. Together and across national borders we have to ensure that this key technology still has a home in Europe in the future. In the past, European clusters seldomly worked together – luckily, this is going to change now. I appreciate the Silicon Europe initiative and wish for it to find numerous supporters and advocates also in the German Bundestag and the German government. The high-tech nation Germany can simply not forego these technologies that by enabling innovations in various industries create jobs and prosperity”.

 

 

October 8, 2012 – Sensirion AG says it will debut at Electronica 2012 (Nov. 13-16, Munich, Germany) what it says is the world’s smallest humidity and temperature sensor: a 2mm × 2mm × 0.8mm in size, based on its CMOSens technology that combines the sensor and signal processing on a single chip.

The tiny SHTC1 humidity and temperature sensor is specifically designed for mobile devices where size is a critical factor, the company explains. It measures humidity over a range of 0-100 %RH ±3 %RH accuracy), and measures temperatures from -30°C to +100°C (±0.3°C accuracy). The fully calibrated sensor has a digital I2C interface and is suitable for reflow soldering — making it compatible with standard industrial mass production processes for electronic modules. More details are available on the company’s Web site.)

The Swiss sensor manufacturer supplies CMOS-based sensor components and systems. CMOSens technology uses intelligent system integration, including calibration and digital interfaces.

October 2, 2012 – Sand 9, a Cambridge, MA-based developer of precision microelectromechanical systems (MEMS) timing technology for wireless and wired applications, is partnering with GlobalFoundries for high-volume manufacturing of its technology, which incorporates silicon-on-insulator (SOI) and through-silicon vias (TSV).

"Partnering with GlobalFoundries allows Sand 9 to meet heightened market demand for the highest-volume mobile applications, including handsets, tablets and other consumer electronics," stated Vince Graziani, CEO of Sand 9. "Our collaboration will ensure a stable, reliable supply chain for all of our customers in mobile as well as in wireline communications infrastructure, cellular base station, and test and measurement markets."

The deal also highlights GlobalFoundries’ MEMS design and manufacturing capabilities, pointed out Raj Kumar, SVP for the foundry’s 200mm business unit & GM of its Fab 7 facility in Singapore (formerly Chartered Semiconductor). "For Sand 9, we have established a very cost-effective and novel MEMS process technology platform integrated with polysilicon through-silicon vias (TSVs) for wafer-level packaging," he noted.

Also read:
MEMS timing firm Sand 9 lands $3M investment from mobile gear giant Ericsson
Intel Capital leads Sand 9 funding round, joins board

Examining a Sand 9-provided white paper (circa 2010) reveals more details about its "temperature-compensated crystal oscillator" (TCMO) technology. A silicon-based MEMS resonator is suspended and acoustically decoupled from a silicon substrate using a "special engineered substrate" (an SOI wafer), with a predefined cavity hidden in the handle silicon layer. Through-silicon vias are formed inside that SOI substrate, then the backside routing is prepared for final solder bumping. DRIE etch through the device silicon layer releases the resonator structure — having the buried cavity enables this release to be done "very fast and clean" using dry etching, the company explains, since no sacrificial layer or wet etching chemistry means one less time-consuming material removal process and it also eliminates stiction effects. The CMOS IC wafer and MEMS wafer are then bonded to create interconnects and hermetic seal around the MEMS resonator, followed by deposition of underbump metallization and solder bumps. Electrical and thermal interconnects are made during the bonding process; the TSVs are directly routed through to the IC, not the MEMS resonator.

MEMS oscillators accounted for less than 1% of the $6.3B timing devices market $6.3B in 2011, according to Semico Research, but the firm projects a sparkling ~86% compound annual growth rate (CAGR) for both MEMS oscillator sales and unit shipments over the next five years (2011-2016), mostly thanks to demand from smartphones.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter! And visit the Advanced Packaging Channel of Solid State Technology, and sign up for our Advanced Packaging News e-newsletter!