Category Archives: Packaging and Testing

June 21, 2012 — Wafer processing equipment supplier SPTS Technologies joined the MEMS Consortium, led by the Institute of Microelectronics (IME), a member of the Agency for Science, Technology and Research (A*STAR) in Singapore.

Micro electro mechanical systems (MEMS) are used in next-generation motion sensors, microphones, oscillators, and other devices. IME established the consortium to spearhead research and development of these cutting-edge MEMS technologies with the support and participation of key manufacturing suppliers. The three focused product areas for the consortium’s work are oscillators for mobile phone timing circuits, magnetometers for compassing functions in mobile phones and energy harvesters; and devices that capture energy such as wearable components to convert self-generated kinetic energy into a power resource.

“Our goal is…to boost MEMS manufacturing within Singapore and in the region,” said Professor Dim-Lee Kwong, Executive Director of IME. “The MEMS consortium provides a platform for researchers and key players spanning the value chain to form a strategic alliance, share knowledge, and develop innovations to tap into new opportunities in the global MEMS market.” IME announced Phase II of the MEMS Consortium this month.

IME is one of Asia’s leading organizations focusing on microelectronics research and development.

SPTS Technologies (a Bridgepoint portfolio company) designs, manufactures, sells, and supports etch, PVD, CVD and thermal wafer processing solutions for the MEMS, advanced packaging, LEDs, high speed RF on GaAs, and power management device markets. With manufacturing facilities in Newport, Wales, Allentown, Pennsylvania, and San Jose, California, the company operates across 19 countries in Europe, North America and Asia-Pacific. For more information about SPTS Technologies, please visit www.spts.com

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

June 20, 2012 — A major high-volume micro electro mechanical systems (MEMS) maker has purchased a FOGALE nanotech T-MAP DUAL 3D 200A metrology tool for its US manufacturing facility. The tool will be equipped with 2 load ports and will be fully automated.

The T-MAP DUAL 3D will perform thickness, total thickness variation (TTV) and stress measurement.

With the microscopy capability, the tool will also perform in-plane registration and out-of-the-plane registration for wafer bonding alignment process control. A new out-of-the-plane overlay metrology enables 0.1µm accuracy.

The tool will also be able to measure critical dimensions (CDs) and the depth of high-aspect-ratio cavities.

FOGALE also recently installed T-MAP DUAL 3D metrology at SPTS, a leader in deep reactive ion etch (DRIE) and plasma etching process tools. SPTS will use the T-MAP DUAL 3D 300M for its application lab in Newport, Wales to perform metrology on through silicon via (TSV) formations.

FOGALE T-MAP DUAL 3D technology was also qualified by a major Korean IDM for back-side wafer processing from temporary wafer bonding to TSV reveal.

FOGALE nanotech provides high-accuracy dimensional metrology tools. FOGALE nanotech provides process control and characterization solutions for MEMS and semiconductor manufacturers and labs around the world. Learn more at www.fogale-semicon.com.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

Driven by the volume consumer business, the maturing MEMS sector starts to look at ways to reduce costs and speed time to market by coming together on things like easing integration, common test methods, and tool replacement parts. Fast-moving high-volume markets may also drive MEMS makers toward paring down the vast diversity of  processes and packages used, and into more collaboration on a mature ecosystem.

June 19, 2012 — The micro electro mechanical systems (MEMS) sector is poised for a multiyear period of steady double digit growth, with 20% average annual increases in unit demand, as systems makers find ever more uses for low cost, easy-to-integrate silicon sensors and actuators, reports Jean Christophe Eloy, founder and CEO of Yole Développement.  That means that even with steady price declines, the MEMS market will double, to reach $21 billion by 2017.  Volume consumer markets are driving much of this growth, as consumer applications accounted for more than 50% of total MEMS industry revenue in 2011.  “But growth will depend in part on how well MEMS makers manage to make these devices easier to use,” he notes. “A strong collective push will be needed to create a MEMS ecosystem to simplify the integration of MEMS into larger modules and systems, enabling non-specialists to use them without a steep learning curve.”

Measuring the same things in the same way

In another sign of the growing maturity of the MEMS industry, there’s been some real progress on agreement on measuring the same things in the same ways, to be able to compare results and agree on dimensional and property specifications. 

The US National Institute of Standards and Technology (NIST) is working with the MEMS community towards standard measurement methods for eight key parameters, to allow validation of in-house measurements, and enable meaningful comparisons of parameters measured by different tools, different labs, or different companies, to ease characterizing and trouble-shooting processes, calibrating instruments, and communicating among partners.

NIST will start to offer MEMS test chips with cantilevers, fixed-fixed beams, and structures for measuring step height, in-plane length and thickness, with reference data for parameters such as Young’s modulus, residual strain, strain gradient, step height and in-plane length and thickness measured on these structures by NIST, using SEMI and ASTM standard test methods, the consensus best practices developed by industry committees at these organizations.  Companies can then validate their own measurements on these chips against those made by NIST, supported by a user guide, the data analysis sheets for each measurement, a MEMS parameter calculator and additional information accessible online via the NIST Data Gateway (http://srdata.nist.gov/gateway/) with the keyword “MEMS Calculator”. 

At least one inspection and metrology equipment supplier is considering supplying the test chips and including software to automate running of the standard tests with its tools.

“We want to work with the MEMS community to facilitate widespread adoption and consistent usage of these standard test methods, and to make the reference materials available to as many people as possible,” says Janet Cassard, electronics engineer in NIST’s Semiconductor and Dimensional Metrology Division, who will explain these tools at the MEMS session at SEMICON West. “Developing the best practices and reference materials are typically prohibitively expensive for a single company to invest in on its own.”

The reference materials measure Young’s modulus, residual stress and stress gradient using the method in SEMI MS4, step height and thickness with SEMI MS2, residual strain with ASTM E 2245, strain gradient with ASTM E 2246, and in-plane length with ASTM E 2244. One test chip covers  material and dimensional properties for a composite oxide layer fabricated in a multi-user 1.5 µm CMOS process followed by a bulk-micromachining etch.  The other uses a polysilicon layer fabricated in a polysilicon multi-user surface-micromachining MEMS process with a backside etch. 

Maturing industry may move towards more commonality

Consumer markets, with their fast product iterations and price pressures, may be driving MEMS makers towards more common platforms and consistent package families to speed time to market and reduce costs.  “The high cost of packaging and test is a big challenge for the industry,” notes Micralyne director of strategic technology Peter Hrudey. “So we, as a MEMS community, should be starting the discussion about ways to increase commonality.”  He suggests the best near term possibility could be cooperative co-funding of research for base technology for emerging market needs. Could something like the model employed for the ARM common platform, licensed at reasonable rates for wide use and then further individually enhanced by users be a model for MEMS?   Or perhaps a combination of equipment makers and product designers can drive a move toward process commonality.  As product designers better understand the process characteristics they can design for manufacture more effectively, while the equipment manufacturers may tend toward increasing commonality through a desire to meet the needs of the biggest MEMS manufacturers.  “In a maturing industry the base technology becomes more common. No one player can drive the entire market forward on their own,” he notes.

Keeping legacy tools up and running by identifying common needs

Volume manufacture also means the MEMS industry will need start to think more about keeping its legacy equipment up and running.  While most parts can be relatively easily replaced with something similar, replacing the obsolete printed circuit boards that fail is more of a problem, especially for the more complex boards in 200mm tools that can no longer be fixed in house.  “The aftermarket of scavenged boards of unknown quality, unknown software version, and unknown availability is not a functional supply chain,” says SEMATECH ISMI obsolescent equipment program manager Bill Ross. “Plus chopping it up for parts takes a 200mm tool out of the available inventory forever.”

To help keep the 200mm tool base viable for the wider semiconductor industry, ISMI aims to facilitate the re-manufacture of critical boards, by identifying the key parts needs, then bringing together the original tool makers who have the IP but no longer support the parts, and potential re-manufacturers who could then make the needed quantities of the boards, if they had license to the IP and a market of potential users.  The organization is also setting up an online exchange for its members to speed the search for needed legacy parts.  Ross and others will be discussing this and other legacy tool issues in the Secondary Market session Wednesday afternoon, July 11 at SEMICON West.

These speakers from Yole, NIST and Micralyne join those from Hanking Electronics, IDT, Teledyne DALSA, Coventor, Applied Materials, Nikon and Scannano to talk about solutions for growing the MEMS sector to the next level at SEMICON West, July 10-12 in San Francisco. See http://semiconwest.org/Segments/MEMS for the complete agenda, and http://semiconwest.org/Participate/RegisterNow to register.

More on SEMICON West:

SEMICON West 2012 exhibits preview: Wafer processing and handling

SEMICON West preview: Conference keynotes and "Extreme Electronics"

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

June 13, 2012 — MicroSense LLC, maker of high-resolution capacitive position sensors, metrology modules, and high-sensitivity magnetic metrology tools, acquired SigmaTech Inc., developer of high-sensitivity metrology tools for light-emitting diode (LED), micro electro mechanical system (MEMS), and semiconductor manufacturing.

“SigmaTech’s customers and prospects will continue to be supported by the existing SigmaTech employees, all of whom will stay with the combined business,” said James Pelusi, Chairman and CEO of MicroSense.

MicroSense gains leading-edge wafer inspection tools for its metrology portfolio, with systems that integrate optical spectrometry, interferometry, SigmaTech’s patented auto-positioning back pressure (APBP) technology and other sensing tools. MicroSense will integrate its capacitance sensors into SigmaTech metrology platforms. SigmaTech took the 2011 Best of West award at SEMICON West. The MicroSense high-resolution capacitive sensor technology provides “an ideal path to increasing throughput” of SigmaTech metrology systems and expanding its applications, said Jacques Fauque, semiconductor industry veteran and founder of SigmaTech who will remain with the business and continue to lead its development.

MicroSense’s capacitive sensors enable precise, high-bandwidth measurements of solar wafers, hard disk drive (HDD) motors, air bearing spindles, precision X-Y stages, optical disks, automotive parts and machine tools. Leading equipment manufacturers around the world use MicroSense capacitive sensors in wafer lithography systems, solar wafer sorters, autofocus mechanisms, nanopositioning stages, metrology tools and flat panel display manufacturing equipment.

MicroSense supplies capacitive sensors, metrology modules, and magnetic metrology tools. Learn more at www.microsense.net.

SigmaTech offers automated metrology solutions for a broad range of standard and specific applications in the field of semiconductor wafers, materials and optics. SigmaTech has multiple system installations at many of the world’s leading semiconductor manufacturing companies and stands at the forefront of the dimensional wafer metrology industry. SigmaTech currently offers multiple gauging technologies that can be implemented to provide the optimal solution for virtually any customer application. Learn more at http://www.sigma9600.com/.

June 12, 2012 — In the presence of his Royal highness Prince Philip of Belgium, Tohoku University (Sendai, Japan) and imec signed a collaboration agreement during the Belgian economic mission to Japan. Under the agreement, Tohoku University and imec will work closely together in various common areas of research, learning from each other’s expertise and leveraging each other’s strengths.

The collaboration agreement sets the framework for future collaboration projects between imec and Tohoku University where students, research staff, and professors will be exchanged between both organizations. Moreover, the agreement enables the exchange of samples between imec and Tohoku University, and sets the lines for joint research on specific topics. In the past five years, the 2 partners already collaborated on advanced interconnects, micro electro mechanical systems (MEMS) packaging and low-power sensor circuit readout design. In the future, the collaboration will be expanded to magnetic random access memory (MRAM) process technology, 3D integration technology, biosensors and wireless communication.

Imec aims to set up strategic collaborative relationships with world-class universities that excel in research areas where imec is also conducting studies, and where collaboration is mutually beneficial. The collaboration will also benefit from imec’s state-of-the-art 200 mm and 300 mm cleanroom facilities.

News courtesy of Kelly Chadwick, Optoiq.com.

June 5, 2012 — Semiconductor supplier STMicroelectronics (ST, NYSE:STM) is now mass manufacturing its micro electro mechanical system (MEMS) microphones in plastic packages. Plastic packaging increases durability for consumer and professional end-use sectors, in mobile phones, tablets, headphones and more. The technology also saves space in the device compared to metal-lid MEMS packaging.

ST says that its microphone assembly process ensures good electrical and acoustic performance and mechanical robustness. The MEMS microphones integrate an internal shielding cage from electromagnetic immunity. Plastic packages proved better than metal-lid designs in compression and drop tests, withstanding a 40N force and 40 drops from 1.5m with a static force of 15N on the package.

The plastic package offers a slimmer form factor, advancing the microphone chip size reduction to 2mm2. This is a step on the path to MEMS microphones embedded in silicon cavities, said ST. Also read: MEMS microphones shrink to grow market share

ST’s MEMS microphones can be assembled on flat-cable printed circuit boards (PCBs) or rigid PCBs, with the sound hole designed in the package to either appear on the top or bottom for the shortest acoustic path from the environment to the microphone. Top-port microphones suit the size and sound-inlet position requirements of laptops and tablets; bottom-port microphones are common in mobile phones. The packages can be placed with standard surface mount assembly equipment.

The microphones can be used with ST’s Smart Voice processors for multi-microphone applications and Sound Terminal audio processing chips. ST supplies semiconductors and MEMS to customers in sense and power technologies and multimedia convergence applications. Further information on ST can be found at www.st.com.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

The first MEMS Business Forum, sponsored by MEMS Journal and MEPTEC (MicroElectronics Packaging and Test Engineering Council) was held May 24 at the Santa Clara Biltmore Hotel. Ten speakers presented on topics ranging from near- and mid-term business opportunities to roles of MEMS in broad visions for the future. Registered attendance was just over 90.

Sandhi Bhide, Intel’s Senior Strategist and Futurist, opened the meeting with a discussion of emerging uses and applications for sensors in 2016 and beyond. He opened with a theme from yesterday’s MEPTEC meeting: machines need to become aware of the user’s context and intent, rather than humans conforming to the machine requirements. The fusion of sensors and interpretive software can enable devices to be aware of their connectivity surroundings, environmental changes, and user activity context to modify the presentation and responsiveness of the device. Domains include personal health and safety, social interactions, and relevant business and news events. The notion of proximity detection to help enforce restraining orders against ex-spouses seemed to resonate with this audience. I’m just saying… Extension of this concept to machine-to-machine awareness and interaction melds nicely into the CeNSE (central nervous system for the earth) being promoted by HP. Demands on data processing and storage, bandwidth and energy consumption will be huge, and system reliability will be critical. He anticipates it will take another 8-10 years for the market to reach the trillion sensor level, including both MEMS and other sensor technologies.

Jérémie Bouchaud of IHS iSuppli speculated whether the market for MEMS in consumer electronics will keep growing forever. MEMS microphone revenue jumped 63% in 2011, with background noise suppression driving redundancy and media tablets opening new demand. RF MEMS tuners are starting to show up in mobile handsets in place of analog, facilitating a smaller antenna volume. Based on the current consumer product mix, MEMS annual growth is projected to drop below 10% in 2015 following a +29% peak in 2011. However, there are plenty of ‘next big thing’ candidates that collectively seem to hold the promise of sustaining a healthy growth rate. MEMS for drug delivery and energy scavenging are two leading prospects, with environmental and biosensors in handsets and tablets also very promising. Breath analyzers for a variety of target compounds range from alcohol (excessive consumption) to acetone (hunger and fat burning) to many other biomarkers still in early development. I’ve been hearing more and more that future growth is dependent, at least in part, on “whatever Apple is working on.”

Shahin Farshchi of Lux Capital Management described the role of MEMS in enabling distributed healthcare. VC activity today is weighted toward mobile/social/internet opportunities and healthcare, with lower emphasis on the energy and semiconductors & related equipment segments. The rising prevalence of diabetes and obesity in the US suggests some promising targets. Over 22% of healthcare expenditures are spent on the sickest 1% of the population; 50% of the expenditures care for the 10% most chronically ill. The smart phone platform is gaining broader acceptance as a diagnostic and health data communications tool. Perspiration, respiration, excretion, blood, heart signals and brain waves are relatively accessible data sources with technology that is available or being readied for market today.

Jeffrey Hilbert of wiSpry presented the emerging opportunities for RF MEMS in mobile applications. They manufacture the first MEMS tuner to be implemented in high volume manufacturing in a smart phone (Samsung). Demands for multi-band and multi-mode support, high data rates, power efficiency and decreasing form factor make this an exercise in compromised RF performance. The heart of wiSpry’s approach is an array of MEMS parallel plate capacitor tunable over a wide range using conventional CMOS interconnect materials built atop a 4LM CMOS circuit. The infamous ‘Antennagate’ episode brought to light by the iPhone 4 was resolved by implementing the wiSpry tuner. (The core issue was that the RF antenna tuning and available power changes the instant you touch your phone or put it near your ear. Touchless levitating iPhones were not considered a viable solution.) Tuners alone are a $150M market opportunity. Other applications include tunable antennae, notch filters, power amps and duplex filters. Field demonstrations of complete 1st generation multiband tunable radio front ends can be anticipated in ~6 months.

Prof. Gabriel Rebeiz at UCSD and Director of the DARPA/Industry Center on RF MEMS elucidated the commercialization trends and business opportunities presented by RF MEMS switches and tuners. One shortcoming of RF MEMS is that they require voltage up conversion to 25V or higher for reliable operation; they do not operate at 5V. From 2002 to 2012, front end RF integration has allowed the industry to reduce the RF front end area 13.5x from 5400mm2 to 400mm2 while increasing the number of radios in that space 8x from 2 to 16. For antenna tuning, RF MEMS competitors Cavendish Kinetics and wiSpry compete very well on performance, but SOS/SOI alternatives like Peregrine do much better on manufacturing and device cost. Antenna tuning will be the big market driver through 2018, followed by power amp tuning with filter tuning trailing; the CAGR over the next 7 years will be an impressive +99%. He expects that all smart phones will have tuners by 2014.

Frank Melzer, CEO of Bosch Sensortec shared his perspectives on the interplay between technologies, software and sensor fusion as they conspire to deliver MEMS sensor performance. Barometric pressure devices for geopositional sensing require much greater accuracy than pressure sensors for automotive applications. Ten DoF (degree of freedom) systems have become the benchmark challenge for smart phone integration. The gyroscope component is the limiting factor in terms of size, power management and complexity in 10 DoF systems.

Prof. Al Pisano of UC Berkeley updated the group on the requirements for harsh environment MEMS wireless sensors for energy and power applications. Unlike the miniature, low cost, low power devices needed for smart phones and tablets, these devices may operate at 600°C and cost $100k each. A 1% efficiency gain in a large gas or steam generator can allow it to produce an additional 17 GWH/year of energy, worth almost $2M in additional revenue. In one design, MEMS sensors are bonded to the surface of gas turbine blades, without degrading the necessary aerodynamics, to fine tune the gas flow direction for a more uniform burn and squeeze out an additional percent or two or efficiency. Sensors based on SiC and AlN have been developed for geothermal energy systems that operate at 400°C to 600°C in order to allow in situ subterranean imaging for optimum well identification. This is 4x hotter than oil and gas wells. JFET and bipolar transistors have been fabricated in SiC to operate in this environment. A 20nm layer of graphene is the secret sauce to preserve ohmic contacts and prevent formation of PtSi. The subterranean systems are powered by vibrational energy harvesters based on rapid local pressure fluctuations rather than mechanical vibration.

Harmeet Bhugra of IDT’s MEMS Division explained why people want to buy MEMS alternatives to quartz crystal oscillators. MEMS resonators claim greater reliability and tunability than quartz, with the ability to offer reliable just-in-time delivery akin to related semiconductor technologies. Piezoelectric MEMS oscillators require no DC bias or narrow gaps like capacitive MEMS oscillators, so piezoelectric is the focus for future commercialization. Current aging data shows a frequency stability of ±0.5ppm over 21 months so far.

Prof. Marc Madou of UC Irvine described a sensor technology based on suspended carbon nanowires as a proxy for insight into several new and creative directions into which MEMS fabrication may develop. Structures are formed lithographically using polymer precursors that are pyrolized to glassy carbon. These materials have excellent electrochemical electrode performance, and can be intercalated with materials such as Li (think Li+ battery applications). The suspended nanowires are fabricated with an electrospinning technique that string the fiber between individual posts. In a sense, this addresses the controlled assembly deficiencies associated with carbon nanotubes. Suspension provides 360° access to the sensing element without contamination or undesirable interactions with the surface. In addition to this family of applications, the technique can be applied to fabricate structural colors, which are surfaces that derive their color from microstructural light scattering effects.

Kurt Petersen of KP-MEMS wrapped up the meeting with a retrospective view on MEMS: how did we get here? He published a seminal paper “Silicon as a structural material” 30 years ago, and had been working in MEMS 7 years already before that. The MEMS market today is 3.5% the size of the semiconductor industry, up from 1% in 1986. Market ‘desperation’ can be identified for each incremental jump in the MEMS market dating back to 1985 and HP’s response to dot matrix printer shortcomings with inkjets. The proliferation of MEMS microphones in cell phones was largely driven by the fact that conventional microphones were incompatible with wave soldering, thus requiring that they be hand soldered at assembly. As to the elusive goal of $1T or 1T units, we are presently short by a factor of 100x. As a benchmark, it took the semiconductor industry 35 years to grow 100x. Kurt foresees a 25 year runway for MEMS to achieve this 100x milestone, gated largely by ‘the transformation of the infrastructure of the planet’ associated with CeNSE and the internet of things.

In an exclusive series of blogs, imec’s science writers report from the International Technology Forum (ITF) last week in Brussels. This year, ITF’s theme was “It’s a changing world. Let’s make a sustainable change together”.

Testifying to the growing affinity between micro-electronics and healthcare research, ITF has seen a growing number of presentations centered on healthcare.

This year’s first bio-presentation was from Denis Wirtz, co-director of the John Hopkins Institute for NanoBio Technology. He started out with some remarkable observations about the status of today’s cancer research. According to him, in the past 40 years, not much progress has been made, and people diagnosed with cancer still haven’t got a much better prospect than a few decades ago. This despite a massive effort from the healthcare research community.

According to him, most approaches to fight cancer have been focused on developing chemicals to fight the burden of primary tumors. However, a majority of cancer patients who succumb of their disease die as a consequence of metastasis, i.e. secondary tumors that spread around the body. Early detection and treatment of these secondary tumors could have significant impact in a wide range of cancer types

Recent work now suggests that cancer cells that form secondary tumors can be recognized on the cell level (rather than on the molecular level of the genetic makeup). This would mean that we can actually detect these malignant cells through e.g. optical screening of cells. However, for this to have any practical use, you’d need extremely fast and high-throughput tools.

Mr. Wirtz then went on to present the efforts of his institute at Johns Hopkins University where he and his students went on a veritable hunt for cell-level markers that can distinguish the really harmful cells, those that may form secondary tumors, from the primary tumor cells. If his team’s findings prove successful, they could mark a new beginning in the transformation of cancer to what he called “a manageable disease”.

Jan Provoost, science writer imec

In an exclusive series of blogs, imec’s science writers report from the International Technology Forum (ITF) last week in Brussels. This year, ITF’s theme was “It’s a changing world. Let’s make a sustainable change together”.

Optical techniques have always played an important role in biology and biotechnology, said Roel Baets, director of the Centre for Nano- and Biophotonics Ghent University, Belgium. But so far the use of solutions based on optical chips has been limited. This will drastically change with the advent of silicon photonics.

Silicon photonics is the name for the technology of optical components and chips using silicon as base material. A major advantage is that it allows fabrication with state-of-the-art semiconductor equipment, using the same processes and tools as for the fabrication of ICs.

During the past ten years, imec and its associated lab at Ghent University have pioneered the field of silicon photonics, building components and demonstrating their outstanding performance. This has resulted in numerous publications, awards, and prototypes.

Driven by the need for higher bandwidth between and on chips, silicon photonics has very rapidly gained momentum for use in high-bandwidth I/O. And in the field of biotechnology and healthcare, silicon photonics is becoming a key platform for lab-on-chip solutions for protein and DNA assays.

These techniques are generally based on label-free refractive index sensing, in combination with molecule-selective affinity binding at the functionalized chip surface.

But the power of light is at its best when making use of the spectroscopic fingerprint of biomolecules – be it absorption spectroscopy, fluorescence spectroscopy or Raman spectroscopy. Spectroscopy-on-chip based on silicon photonics has an immense potential for in-vitro study of biological interactions, for point-of-care systems, and for miniaturized body implants.

To wrap up his presentation, Roel Baets showed some of the projects that his group has been working on. One, for example, is an implantable chip designed to measure blood glucose through an on-chip miniaturized spectrometer. Another is a custom biosensor chip that imec and Genalyte have designed and fabricated. The chips will be used as biosensing disposables for use in Genalyte diagnostic and molecular detection equipment.

Jan Provoost, science writer imec

In an exclusive series of blogs, imec’s science writers report from the International Technology Forum (ITF) in Brussels. This year, ITF’s theme was “It’s a changing world. Let’s make a sustainable change together”. More info: www.itf2012.com

“If we succeed in connecting biology with microsystems, we can revolutionize life sciences,” begins Peter Peumans, department director bio-nano electronics of imec. And it’s high time for a revolution. Just look at the stethoscopes doctor’s are using today and the microscopes that labs are using and compare this to a 100 years ago. It hasn’t changed much.

“The perfect example of connecting biology to microsystems is the bioreactor we are developing,” explains Peumans. He shows a chip with a dense array of electrodes onto which a cell culture can be applied. “The electrodes allow to measure activity of single cells. Moreover, they also allow to create a local voltage to the cell which results in nanosize pores in the membrane. In this way, the patch clamp technique can be automated and performed simultaneously on hundreds of cells. These smart bioreactors can be used to study neurons or cardiac cells or even to culture stem cells. The production of stem cells holds a high promise for a lot of diseases,” emphasizes Peumans.

He also shows three other promising examples of technologies that connect biology and microsystems. The first one is a neuroprobe with close to 250 electrodes. This new generation of probes will be a key asset to brain research.

Another imec research topic Peumans mentions is the high speed cell inspection platform which is based on microscopes on chip. These microscopes don’t use any sort of optics. “The platform allows to take microscopic images of cells. Based on these images, the cells are classified and sorted by using a fast microfluidic switch that routes the cells into a chosen channel,” says Peumans. Such inspection chips could be used for the diagnosis of cancer in a very early stage.

And finally Peumans shows the promise of high-throughput molecular analysis by marrying biology and microsystems. He is convinced that high-throughput sequencing will become an important diagnostic tool in the future by making it low cost, fast and easy to use. “We have developed a chip with a dense array of molecular sensors. This combined with the capability to quickly wash and flood these local sensor sites with reagents results in the basic infrastructure required for genome sequencing,” states Peumans. “Imec is committed to make partnerships between the world of biology and microsystems and as such contribute to the coming revolution in life sciences.“

Els Parton, Science editor imec