Category Archives: SEMI

by Tom Morrow, executive vice president, Emerging Markets Group, SEMI

European government representatives, consortia and suppliers discussed programs to support and participate in the anticipated conversion of leading-edge wafer manufacturing to 450mm wafers at SEMICON Europa in Dresden. Possibly signaling a major change in the 450mm planning framework, representatives from G450C, imec, Fraunhofer IISB, and others discussed mechanisms for greater European participation, and emerging research initiatives, related to 450mm development. In addition, in probably the first major public discussion of the 450mm lithography system schedules following investments from TCMC, Intel and Samsung last summer, ASML provided a comprehensive presentation on their roadmap for 450mm EUV platforms.

Michael Liehr, newly promoted to executive Vice President of Innovation and Technology at the College of Nanoscale Science and Engineering (former IBM veteran, Paul Ferrar has been appointed General Manager, Vice President and coordinator of G450C project), provided an update on the consortium’s pilot fab, tool roadmap, wafer development, and wafer availability plans. Newly announced at Europa was G450C’s welcome of global collaboration efforts with regional consortia and government agencies. As a new consortium on the crowded stage of collaborative research development, G450C’s working relationship with other consortia in the industry has been an ongoing question for manufacturers, suppliers, and key stakeholders. G450C’s role in prequalifying tools for 450 wafer manufacturing places them in a powerful, central role in the future of the industry. With the industry consolidating and global R&D dollars needing increasing focus and efficiency, the roles, core competencies, and optimal distribution of research initiatives across the world is undergoing significant transformation.

"We’re looking forward to working with any regional organizations including wafer pool and adjunct tool demos," said Liehr during the session. While G450C priority remains on the specific program deliverables, Liehr spoke to the need to recognize and value the contributions of other players in global industry. He said that G450C selection, validation, evaluation of projects would proceed with criteria emphasizing technical and commercial transition value and that "G450C understands that public funding must be applied within the taxpayer region."

Liehr’s comments were welcome news to European consortia with a high stake in the semiconductor industry. The Fraunhofer-Gesellschaft network in Germany, for example, has 60 institutes, more than 1800 employees and an annual research volume of 1.65 billion euros (2010), of which 1.4 billion euros is generated through contract research. Lothan Pfitzner, head of department at Fraunhofer IISB provided an overview of his organization’s existing and planned activities in 450mm manufacturing process development. Pfitzner said Fraunhofer Group will support 450mm R&D&I activities based upon their strong expertise and experience in equipment assessment, manufacturing science (e. g. process control, automation, wafer handling, etc.), flying wafer concepts, green aspects, modeling and testing.

For reasons of cost optimization, Fraunhofer is also willing to reclaim 450mm wafers. In addition, Fraunhofer hopes to contribute in the area BEOL and of TSV in optimization of processes as well as in the area of metrology and defect detection and characterization. Part of these activities will take place at the Fraunhofer IISB Erlangen facilities.

Fraunhofer plays a key role in many current and planned public-funded research efforts related to 450mm manufacturing. The ENIAC EEMI450 is currently developing and evaluating wafer material, metrology tools, process equipment, and handling systems. The CATRENE NGC450 program is providing analysis and optimization of cluster platform performance. Planned programs under Framework 7 include SEA450 involving equipment assessment of cleaning and hot processing equipment and corresponding metrology tools. Another planned program is ENIAC 450EDL project involving virtual metrology and predictive maintenance models for 450mm metrology tools.

Imec, headquartered in Leuven, Belgium, has nearly 2000 researchers including more than 600 industry residents and guests, is also planning a 450mm pilot line to begin construction in 2013 and scheduled for completion in 2015. Phase one of the program is anticipating funding from the Flemish government, EU ENIAC FP7, and industry sources. Imec expects to play a key role in the acceleration of 450mm equipment development through installation of alpha and beta tools for early learning in an "industry-relevant technology flow." The organization’s track record of support for advanced process and lithography development is expected to be a key factor in securing a strong role in 450mm manufacturing programs.

Other European initiatives include those by Israeli "Metro450" Consortium comprised of five key companies: AMIL (metrology division of Applied Materials), Nova, Jordan Valley, Nanomotion, and Intel. University support comes from four institutions and public funding comes from the Israeli Chief Scientist budget. The regional interest in 450mm manufacturing stems from Israel’s strong success in wafer fab metrology, now accounting for over 30% of world’s share and approximately $1.3 billion in sales. Menachim Shoval, Metro450 Board Chair, said the transition of current 300 mm metrology solutions to 450mm manufacturing is not trivial and threatens their current world position.

Other regional interests expressed during the forum include those from the state of Saxony in Germany. Silicon Saxony, the organization representing the interests of the substantial semiconductor cluster, has a vision that by 2018 it "will be seen as a trendsetter for innovative semiconductor manufacturing technologies for 450mm and may plan to install its 1st 450mm manufacturing site in the Dresden region."

The scale and diversity of interests in 450mm is impressive, but a realistic forecast for European pilot lines and public funding is hard to gauge. Currently G450C plans to qualify "one or two…maybe three" tools per process, limiting broad supplier participation in future 450mm manufacturing. European efforts to supplement the G450C qualifying process may help open the participation opportunities for other companies in 450mm manufacturing, especially for European companies hoping to benefit from public funding. But significant EU and country funding of 450mm R&D is not yet assured. With the current European debt crisis and emphasis on austerity, significant funding for semiconductor R&D may be limited. Furthermore, there remains significant debate over research priorities with many in the European semiconductor community, including leading European device makers, favoring R&D emphasis on "More than Moore" programs.

Opening up the qualifying process for 450mm high volume production tools to European consortia would seem to benefit 450mm device makers, tool makers and the overall industry move towards 450mm wafers. In addition to expanding the number of qualifying tools and public R&D funding sources, European consortia can be expected to link important process development efforts in 3D transistors, 3DIC, and other areas with 450mm production requirements. Many of the current EEMI450 programs also feature unique approaches to metrology and material handling, adding an important "innovation" element to 450mm development efforts. At this stage of the 450mm transition, however, it is uncertain how likely G450C will move to open up their primary tool qualification role to organizations they may perceive as competitors. According to Liehr, "The same consolidation forces affecting device makers and suppliers are affecting R&D facilities. Specialization will need to be furthered so there is minimal overlap."

ASML begins 450mm development

Another noteworthy discussion in the European 450mm session was ASML’s plans for large wafer lithography systems to support high volume 450mm production. ASML successfully negotiated billions of dollars in capital investment by Intel, TSMC and Samsung this summer to support accelerated development of extreme ultraviolet (EUV) systems for 450mm manufacturing. Until a 450mm EUV lithography system is developed, 450mm pilot line development will utilize nano imprint technology, a significant R&D limitation according to many observers.

In perhaps the first public discussion of the company’s 450mm plans, ASML’s Frank Bornebroek discussed the product strategy and technology challenges for 450mm EUV systems. He described how ASML will now simultaneously develop four tools on two platforms to accommodate 450mm production. He said the initial versions will provide 30 wafers per hour in 2016-2017, extended to 60 WPH for EUV in 2018-2019. For immersion systems, ASML is targeting 50 WPH in 2016 and high volume systems in 2018.

While the company is committed to the G450C schedule for high volume production, significant technical barriers will need to be overcome. "It’s not just a scale up, but significant overlay improvements will be required…overlay drives patterning" said Bornebroek. "The larger the wafer, the more difficult it will be to improve productivity. We will need to accelerate 3-times more mass at 2-times more accuracy."

ASML is in process of hiring an additional 200 employees to meet the aggressive delivery goals. 450mm systems will require a "full base frame redesign" with major changes to chucks, mirror blocks, stages, tables and handlers, with adaptations to sensor and metrology systems. Bornebroek indicated that "450mm wafers will provide limited cost benefit for scanning systems."

by Dan Tracy, senior director, SEMI Industry Research and Statistics

October 3, 2012 – Semiconductor manufacturers in Japan are either consolidating or closing fabs, and, in several cases, transitioning to a "fab-lite" strategy, all in a restructuring effort to meet the market challenges ahead. While device manufacturers are consolidating manufacturing operations and plan to outsource more wafer fabrication and package assembly to foundries and packaging subcontractors, a large installed fab capacity remains in Japan. Recent data for the year shows overall wafer area shipments into Japan’s fabs being the same as shipments into Taiwan.

By 2014, the total installed fab capacity Japan should increase slightly from about 4.5 million to 4.6 million 200mm equivalent wafers per month. Installed 300mm fab capacity is expected to increase from about 760,000 to 840,000 300mm wafers per month — representing, by region, the third largest 300mm fab manufacturing capacity base globally. Over the next several years, fab spending in the Japan market will be directed towards the production of NAND flash memory, power semiconductors, high-brightness LEDs, and CMOS image sensors.


Regional share forecasted for 2013 fab materials market. Total market size: $25.7 billion.

Overall equipment spending in Japan will likely range on the order of $4 billion per year. Expected NAND flash investments in 2013 could approach up to $2.5 billion. LED fab equipment spending is estimated to be $340 million next year. Finally, Sony is expected to invest about US$ 1 billion or more in its CMOS image sensor production.

Japanese equipment and material suppliers are leading players on the global semiconductor industry stage. It is estimated that Japan-headquartered equipment companies collectively capture about 35% share of the global semiconductor industry spending per annum. Like their North American and European counterparts, customers in the rest of the Asia Pacific region are the largest base for new equipment sales.

Chemical and other material suppliers in Japan are market leaders in the manufacturing of silicon wafers, III-V wafers, advanced chemicals, packaging resins, and packaging substrates. It is estimated that the Japanese material suppliers sales represent about 70% of the global semiconductor materials market, both fab and packaging.

Japanese suppliers showcase the latest products at SEMICON Japan 2012

Leading Japanese equipment and materials suppliers will exhibit at SEMICON Japan 2012 on December 5- 7, along with global key players, at the Makuhari Messe, Japan. Find the latest products and innovations this companies offer to customers globally that enable key technologies for the future including 450mm, EUV, TSV, power devices, and HB-LEDs to name a few. Also, the show will co-locate with a major photovoltaic show, PVJapan 2012 so you can connect to two major microelectronics industries in a single visit.

For more information, including registration and exhibition, visit www.semiconjapan.org/en.

by Karen Lo, director, SEMI Taiwan

September 26, 2012 – At the SEMICON Taiwan 2012 450mm Supply Chain Forum on September 7, leading foundries and equipment manufacturers such as TSMC, TEL, Lam Research, Applied Materials, and KLA-Tencor convened to discuss the latest trends in 450nm technology as well as the opportunities and challenges involved. The experts at the forum agreed that many technical obstacles remain on the path to achieve mass production for 450mm wafers by 2018. The industry supply chain must collaborate on innovation to make this vision a reality.

In a presentation entitled "450mm challenges and opportunities," Dr. C.S. Yoo, senior director of the 450mm program at TSMC, said that increasing node complexity means diminishing returns from process miniaturization. For this reason, the industry began studying 450mm wafers with the goal of improving production efficiency, accelerating technology ramp-up, and shortening production cycles. Yoo stated that these advantages, together with higher land and personnel utilization rates, hopefully will offer the semiconductor industry more opportunities for long-term development.

Dr. C.S. Yoo, sr. director of 450mm program, TSMC

According to Yoo, the biggest question in the bid to realize mass production by 2018 is whether the industry can successfully develop the lithography required for 10nm node processes by 2015. At the same time, the industry must solve problems such as rationalizing equipment costs to make return on investments predictable, realizing significant improvements in productivity, and development of automated unmanned foundry operations, smart equipment, and green foundries.

The industry made many technological breakthroughs during the conversion over to 300mm wafers — and Yoo expects that the transition to 450 will produce even more innovative technologies in the future. TSMC will leverage its partnership with the Global 450 Consortium (G450C) as well as work with IC and equipment manufacturers to support the successful transition of the industry to 450mm.

Dr. John Lin, general manager of G450C, introduced the latest developments at G450C, noting that significant advances in 450mm technology have occurred in the past year and industry interest is continuing to build. He stated that the goal of G450C is to begin demonstrating 14nm technology this year and put 10nm into pilot production between 2015 and 2016. Major improvements in the quality of supply for 450mm wafers have been made, and most of the production machinery should complete the prototype phase by 2014. As for lithography — the most crucial part of the project — the preliminary prototype will probably be completed in 2016 and be ready for mass production by 2018.

The CNSE cleanroom is expected to be ready by December 2012; it will be the first 450mm foundry in the world. Lin said that G450C will continue to collaborate with suppliers and SEMI to promote the standardization of 450mm hardware infrastructure components as well as back-end processing and packaging and testing operations. By sharing in the costs of development, the industry will enjoy the benefits offered by 450mm.

Among equipment manufacturers, Dr. Akihisa Sekiguchi (VP and GM of corporate marketing, TEL), Mark Fissel (VP of 450mm program, Lam Research), Kirk Hasserjian (corporate VP of silicon systems group, Applied Materials) and Hubert Altendorfer (senior director of 450mm program, KLA-Tencor) all talked about the challenges involved with developing 450mm equipment.

Seikiguchi believes that 450mm will revolutionize the semiconductor industry and that only companies with strong financial fundamentals will survive due to the high cost of investment. With several years to go until the target of achieving mass production by 2018, Seikiguchi believes that the risks and uncertainty during this period makes proper communication and collaboration between customers, equipment suppliers, foundries and industry associations all the more important. The semiconductor industry should learn from past experience with 300mm transition to avoid making the same mistakes.

Mark Fissel of Lam Research also invoked the transition to 300mm as an example. The first prototype was completed in 1995 but the "dot-com" bubble and other economic factors slowed progress, so it took nine years for 300mm wafer shipments to finally exceed 200mm wafers in 2004. The development of 450mm equipment must also contend with design issues and challenges in terms of technology, capacity, cost and size. Fissel believed that the industry must balance the risk for 450mm development with its long-term ROI.

Kirk Hasserjian of Applied Materials proposed six important factors for a smooth transition to 450mm: Synchronization of the industry’s transition timetable, maturity of lithography, cost sharing, collaboration, innovation, and supply chain readiness.

The eventual wafer size transition will have widespread implications, both for those who make the transition as well as for those that wait. Much of the semiconductor ecosystem is now paying attention to — and planning for — the transition. SEMI is facilitating the development of industry standards and the flow of information throughout the supply chain. SEMI recently launched 450 Central, a web-based information service to help the industry efficiently transition to 450mm-ready solutions and keep the industry informed of important news and perspectives on 450mm wafer processing.

The most knowledgeable and authoritative voices in the industry discuss these tough issues at SEMI events around the world. Our objective is advance the dialog — to convey useful information to our attendees — and to serve as a platform for productive collaboration on these and other industry issues. The upcoming SEMICON Europa (October 9-11) features a 1.5 day session on "Progress in 450mm." For more information on SEMI, visit www.semi.org.

2012 stall could pave the way for a record-breaking 2013

by Christian Gregor Dieseldorff, SEMI Industry Research & Statistics

September 7, 2012 — Consumer and business sentiment has become more important than ever before in the semiconductor industry. As we near the end of the third quarter in 2012, pessimism about the economy prevails given the challenging financial situation in the US, a slowing Chinese economy, and the on-going European debt crisis.

At the beginning of 2012, the outlook for semiconductor revenue was more optimistic, with predicted average growth of about 4 to 6 percent. The macroeconomic situation inspired caution and semiconductor revenue outlook changed to an outlook of flat to 2 percent for this year, with various key companies announcing changes in their revenue outlook. For example, in July, Intel cut its 2012 sales growth target to US$ 55.6-58.7 billion, up 3 to 5 percent from 2011, though Intel expects a stronger second half of 2012. TSMC cut its revenue growth rate by about 1 to 2 percent, expecting a slowdown in 4Q12 and into 1Q13. In July, STMicroelectronics announced it will cut capex for 2012 by 25 percent because of a lower outlook.

Meanwhile, struggling Japanese MCU and Analog/Power-maker Renesas considers options to stay in business, such as consolidating business units or pursuing a fab-lite strategy. Fujitsu announced it will pursue a fab-lite strategy, and recently announced the closure of one assembly and test facility and the transfer of ownership of two other facilities to J-Devices Corp. Also since mid-2012, a number of companies have announced more layoffs — including Siltronic AG, Nokia, Cisco, ON Semi, Google’s Motorola Mobility and Rambus.

2013: Another golden year?

While various industry segments appear to be tapping the brakes, others are revving their engines, hoping for an improved 2013. Increased demand for mobile devices, such as new smartphones, ultraportable PCs, and tablets may push semiconductor revenue higher by 10 percent, making 2013 another golden year.

Semiconductor revenue and capex rise and fall together, such that fab equipment spending generally trends along a similar path.

Frontend fab equipment spending, by product types.
(Source: SEMI World Fab Forecast Reports, August 2012)

In terms of fab equipment spending, 2007 and 2011 were golden years. Although spending in 2012 will decline, it may still turn out to be the third largest spending year on record for overall fab equipment spending.

SEMI’s fab database shows about 200 facilities equipping (including Discrete and LED fabs), suggesting that 2013 has the potential to be another golden year — perhaps an all-time record — with 17 percent growth, almost $43 billion.

Frontend fab equipment spending. (Source: SEMI World Fab Forecast Reports, August 2012)

Key drivers for fab equipment spending in 2012 are the foundries, led by TSMC, Globalfoundries, and UMC with over $10 billion combined spending. Their dominance continues in 2013 with about another $10 billion in spending.

Frontend fab equipment spending by product types, showing largest spending types.
(Source: SEMI World Fab Forecast Reports, August 2012)

Examining fab equipment spending by product type, the DRAM sector is still struggling with declining average selling prices. The industry lost German maker Qimonda in 2009, Powerchip exited DRAM in 2011, and ProMOS is struggling. In order to avoid further ASP declines, DRAM makers ceased investments in new capacity and those who could afford it focused investment in new technologies and upgrading existing fabs. After the bankruptcy of Elpida, at the beginning of 2012, global capital expenditure for DRAM declined to very low levels. This is not expected to change in 2013.

Flash investments also slowed in 2012. For example, at the beginning of 2012, Sandisk announced a pause in Fab 5 capacity expansion. At the end of July, Toshiba announced it will cut its NAND production by 30 percent. However, SEMI data indicates that Flash investments will pick up again in 2013, with big spenders Samsung (mainly Line 16), SK Hynix, Flash Alliance, and Micron.

Samsung turns attention towards System LSI by converting existing Memory fabs into System LSI and building new ones. Spending on a grand scale, Samsung is predicted to pour over $5 billion in 2012 and over $6 billion in 2013, all into this product type.

Although more fab projects have begun than estimated last year, the overall number of fab construction projects has declined year-over-year. Looking at how this affects investments, in 2012 investments for construction projects are expected to decline by 4.4 percent (from about $6.4 billion to $6.1 billion). In 2013, another 10 percent drop will bring fab construction spending to about $5.5 billion.

Foundries perform much better than other industry segments in terms of installed capacity growth. Foundries are even more necessary given industry consolidation and as more IDMs change to a fab-lite or fabless business model. Examining installed capacity by product type, Flash will overtake DRAM in 2012.

Cutbacks in Flash production in 2012 have improved average selling prices so companies will likely increase Flash capacity in 2013 to meet anticipated demand growth. DRAM capacity investments are at "maintenance level," so no increase of installed capacity is expected in 2013. Samsung’s heavy investments in System LSI will singlehandedly grow SLSI capacity (its $4 billion conversion of Austin, TX fab from Flash to 28nm SoC logic devices).

Promising future

While 2012 may not bring positive growth, it may still end up reigning among the top performing years. As the industry continues to consolidate, with more companies moving towards a fab-lite or fab-less model, traditional foundries continue to expand and some big IDMs ramp their foundry services. Investment "engines" may be idling in the near-term, and those investments could gear up for a smooth acceleration into 2013, driven by high demand for mobile devices.

SEMI Industry Research and Statistics Group: A worldwide dedicated team

Since the last fab database publication at the end of May 2012 SEMI’s worldwide dedicated analysis team has made 296 updates to more than 230 facilities (including 52 Opto/LED fabs) in the database. The August edition of the World Fab Forecast, lists over 1,150 facilities (including 300 Opto/LED facilities), with 76 facilities starting production this year and in the near future.

The SEMI World Fab Forecast uses a bottom-up approach methodology, providing high-level summaries and graphs; and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. These tools are invaluable for understanding how the semiconductor manufacturing will look in 2012 and 2013, and learning more about capex for construction projects, fab equipping, technology levels, and products.

SEMI’s Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses. The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment.

Also check out the Opto/LED Fab Forecast.

Learn more about the SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase and
www.youtube.com/user/SEMImktstats

SEMI
www.semi.org
San Jose, California
September 4, 2012

Technologies, Business Models, Applications and Materials Management Strategies in Transition — SEMI reports.

August 22, 2012 — The $100B+ electronics materials industry is undergoing rapid metamorphosis as technologies, markets, business models, and materials management practices are all being restructured to meet the needs of a profit-hungry, environmentally-conscious and innovation-dependent world. The $50 billion semiconductor materials industry alone, for example, needs investment in new lithography resists, novel device architectures, and advanced interconnect and packaging while trying to maintain margins in a consolidating industry where manufacturers know how to leverage buying power. At the same time, advanced electronics materials markets in displays, LED, PV and power semiconductors — collectively larger than traditional semiconductors — are providing new, potentially higher-profit opportunities for suppliers. Both manufacturers and suppliers are responding to these dynamics through joint development agreements and other collaboration models, increasingly important resource recovery strategies, and capitalizing on the synergies between advanced materials requirements among different industries.

These and other issues will be the focus of 2012 Strategic Materials Conference (SMC) to be held on October 23-24 at SEMI headquarters in San Jose, CA. For more information on the conference, visit www.semi.org/en/node/41386. SMC is the only conference dedicated to exploring the synergies, trends and business opportunities in advanced electronic materials. Many of the developments, trends and collaboration in one industry are applicable to other industries, creating potential valuable synergies across the materials spectrum. With presentations by leading market analysts, academic researchers, industry consortiums, leading manufacturers, and top suppliers, SMC will serve as a valuable forecasting tool and accelerator for advanced materials usage in the electronics industry.

To provide a broad reach, the 2-day SMC will feature four 2-hour tracks in semiconductors, carbon-based materials for energy storage and ICs, LED/Power devices, and OLED/printed electronics. Each of these areas are characterized by significant opportunities and challenges. In LEDs and power semiconductors, for example, dramatic increases in solid state lighting and emerging markets for electric vehicles, Smart Grid, solar inverters and other areas have a driven a race in Si, GaN on Si, GaN on GaN, SiC, and sapphire-based technologies. In organic and printed electronics, OLED displays are quickly emerging as a replacement for LCDs even in large format displays, potentially creating opportunities for leveraged technologies in OLED lighting, thin film batteries, printed logic and memory.

Other portions of the conference will be devoted to critical trends and issues in materials usage and materials development, including rare earth supply dynamics, materials recovery, collaboration models and joint development strategies, investment opportunities, and more. Leading industry analysts will also provide market forecast and insights into application trends. Significant networking opportunities including a dinner reception will be included in the conference.

Figure source: SEMI Materials Market Data Subscription May 2012

One of the collaboration strategies explored in the conference will discuss how equipment OEMs, materials suppliers and major manufacturers can work more effectively together. Today, frequent R&D efforts can be distributed at research consortia, manufacturer process development labs, and at materials suppliers, each in conjunction with key equipment suppliers who have their own development programs. Speakers from Intel, Micron, Air Liquid and Applied Materials will discuss common development strategies and ways they can be improved.

Materials refining, recycling and recovery is also becoming a critical issue for many industries due to regulatory compliance and as a cost reduction imperative, with implications for fab design, intellectual property protection, onsite materials infrastructure and other areas. Experts from Envirodigm, Sachem, Intel and Air Products and Chemicals will discuss this “paradigm shift” in manufacturing and how it provides both opportunities and challenges.

SMC has provided valuable information and networking opportunities to materials and electronics industry professionals since 1995. SMC 2012 builds on that legacy, expanding the reach and focus of the conference to examine advanced electronics materials for the semiconductor and adjacent industries. SMC is organized by the Chemical and Gas Manufacturers Group (CGMG) is a SEMI Special Interest Group comprised of leading manufacturers, producers, packagers and distributors of chemicals and gases used in the microelectronics industry. For more information on the conference, visit www.semi.org/en/node/41386.

Karen Savala, president, SEMI Americas

August 10, 2012 — This year at the SEMICON West press conference, I presented on “Supply Chain Readiness in an Era of Accelerated Change” and I’d like to summarize that presentation for you.  The talk centered on the increasing capital and technology requirements of advanced semiconductor production and the pressures this creates on the supply chain. The structure of the industry is rapidly changing — and how it will respond to the simultaneous challenges of Moore’s Law scaling, 450mm wafer production, 3D-ICs, and industry consolidation is very much unknown.   Much of this uncertainty is reflected in what we call “supply chain readiness.”

Never before has the industry faced greater economic and technological uncertainty. The industry is consolidating, with fewer leading edge chip makers and fewer leading edge suppliers.  The technical challenges are increasing as geometric scaling and Moore’s Law now must be accomplished with rising process engineering complexity — particularly in the areas of EUV lithography, 3D-IC chip packages and 450mm wafers.

The economic and technical challenges of today’s environment will have an impact on supply chain readiness.  In the past, the size and scope of the industry supported a vibrant supply chain of start-ups, innovators at the leading edge, brilliant fast-followers, and a variety of technology and process specialists. 

Today, the supply chain is dominated by several large OEM companies who rely upon a global ecosystem of technology subsystem and component firms.  As process engineering becomes more complex at leading-edge nodes, the readiness of the supply chain to deliver advanced, integrated solutions becomes less certain.

 

EUV Lithography

Photolithography systems are among the most complex and expensive machines on the planet.  They are also the most important tool to maintain the pace of Moore’s Law.  From advanced light sources from Cymer to highly engineered optics and lenses from Carl Zeiss, approximately 90% of an ASML lithography system comes from external suppliers. EUV systems are currently shipping, but as you know, they do not meet the required wafers-per-hour throughout for high-volume production.  Consequently, EUV is being deployed in conjunction with immersion lithography, directed assembly and other options. The node at which EUV fully enters mass production is still uncertain — certainly below 20nm, perhaps at the 16 nm node, possibly at 8nm.

To alleviate some of this uncertainty, both Intel and TSMC have made significant investments in ASML to support EUV development and help accelerate the introduction of 450mm systems.  While this massive infusion of cash will assure a common mission between these key industry players, how it will impact next generation mask infrastructure has yet to be seen.

In mask readiness, EUV mask blanks are an order of magnitude more complex than today’s conventional mask blanks.  Spectacular work has been accomplished to improve yield and reduce defects on these new systems.

Today, according to SEMATECH, mask performance is sufficient to meet the needs of memory, but still short on meeting the requirements for logic.  More importantly, as this chart shows, you’ll see that a significant gap between EUV mask blank demand and supply capacity currently exists.  Uncertain EUV insertion will make investment difficult for suppliers to address this capacity shortfall before full production is assured.  This uncertainty may also threaten production volume availability for EUV resists.

 

 

3D-IC

3D-IC is another area of dramatic and uncertain change lies in the area of 3D-IC stacked chips.  Given their potential for smaller form factors, increased performance, and reduced cost and power consumption, 3D-IC technologies are now enabling the next generation of advanced semiconductor packaging.  Already, 2.5D approaches using silicon interposers to provide wide IO bandwidth and denser packaging have been introduced, but many manufacturing and collaboration barriers remain before widespread commercialization. 

3D integration using through-silicon vias promise a fundamental shift for current multi-chip integration and packaging approaches.  But cost-effective, high-volume manufacturing will be difficult to achieve without standardized equipment, mat䁥rials, and processes.

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of “front-end fab at the foundry” and “back-end fab at the packaging and test house” is at risk of falling apart. TSMC has been clear about their vision. They want an   expanded role in the industry to implement — not just wafer foundry services — but 3D integration as well, including thinning, bumping and assembly.

While the business models sort themselves out, there remain technology challenges and process flow uncertainty.  Chips-on-substrate, chips-on-wafer and chip-on-chip all remain viable options. 

Currently, there are no collaboration models to solve this foundry-OSAT-IDM and fabless chip matrix for complex, multi-chip packages.  SEMI standards are addressing many supply chain, equipment and materials issues. However, market demand and business models must continue to sort themselves out before 3D chip stacking can widely penetrate the industry.

 

450mm Wafer Transition

The most expensive semiconductor industry technology transition in history will occur with the transition to 450mm wafers.  R&D costs alone are estimated to rise between $8 and $40 billion, depending on the efficiency with which the transition is coordinated.  The high end of this estimate represents a level of investment that is equivalent to what the entire industry spent on advanced process development over the past five years.  These costs will be incurred concurrently with other major technical challenges in the industry, including the move to 3D transistor structures, and EUV and 3D stacked chips already mentioned. The recent investments in ASML by Intel and TSMC reflect just how much the industry will be changed by 450mm development requirements. 

Currently, the Global 450 Consortium, or G450C, with members from Intel, IBM, Global Foundries, TSMC, and Samsung, is in the process of constructing and equipping a 450 pilot line in New York.  G450C has said that it expects the line to complete by mid- 2013 to early 2014. The business model to equip this pilot line is unlike anything we’ve seen before — in this industry or elsewhere!  The pilot line will feature approximately 50 tool types, most if not all, from no more than two vendors.  Performance data from this pilot line will be used to qualify equipment purchases for high-volume production equipment.  To many, it is clear that to participate in future 450mm production, equipment suppliers must participate in the pilot line.

However, not all vendors are being asked to participate, and for those that do, the terms for participation in the pilot line are daunting.  How the industry will pay for and recover the massive R&D cost has not been resolved.  Suppliers must weigh a decision to participate in pilot line development in conjunction with the possibility of not being qualified for production equipment orders from the world’s top chip manufacturers.  The timing and quantity of these of these potential future orders are also not known. 

These are difficult and complicated negotiations and decisions for the industry’s leading OEMs.  They are even more complicated and difficult for the remainder of the supply chain. 

While our leading equipment suppliers must sell products and services to chip manufacturers, many of the component and subsystem suppliers do not; they often serve multiple industries. 

As the current collaboration model unfolds for 450mm development, its impact on a variety of technology suppliers — many of them exhibitors at SEMICON West — is uncertain. Approximately 90% of ASML’s components and subsystems are provided by outside suppliers.  Another example, Applied Materials is dependent on 800 suppliers worldwide, with 75 prime strategic suppliers representing 80 percent of their annual procurement allocation. 

On the transition of the industry to 450mm wafers — it is certain that the impact on the supply chain will be disruptive and significant. While it appears that G450C may be the primary path of coordination for the scale-up of wafer process tools, it is the OEMs that will be coordinating a complex multi-layered supply chain of component and sub-assembly providers.  At SEMICON West for the first time, the major process tool makers communicated requirements and expectations to the larger group of supply chain participants that may not have direct access to the consortia pilot line.

 

SEMICON West 2012

At SEMICON West, the most knowledgeable and authoritative voices in the industry discussed these tough issues.  Our objective is advance the dialog — to convey useful information to our attendees — and to serve as a platform for productive collaboration on these and other industry issues.  All of the events at SEMICON West (keynotes, partner events, TechXPOTs, and technical presentations) allow key industry stakeholders to discuss where it makes sense to collaborate — and where it’s best to compete.

 

Please let me know if you have comments or questions at [email protected].

 

Karen Savala

SEMI

www.semi.org