Category Archives: Semicon West

NSTAR Global Services is now offering facilities management services for operations and maintenance (O&M) of installed OEM facility equipment or previously constructed systems that support fab operations in high-tech industries. As fab/facilities owners look to optimize their operating costs in production support areas, NSTAR offers to reduce fixed overhead costs and provide a flexible workforce solution to reduce fixed headcount while still allowing the client to maintain control over its operations and results.

“NSTAR’s facilities services can apply to both new and already-existing fabs, helping them to ramp up their operations and hand over existing staff to outsource part or entire sections of facilities O&M,” said Darrell McDaniel, President of NSTAR Global Services. “This new service solution expands on NSTAR’s already-existing service expertise, working with IDMs and other facilities’ owners to deliver a fixed fee solution, helping the client to save money and reduce risks.”

“We have a stringent policy on safety, so all of our staff is fully trained for on-site processes as well as mandatory safety protocols,” stated Hardev Grewal, VP of Business Development at NSTAR. “Our customers appreciate the availability and reliability of our qualified personnel.”

NSTAR has a well-tested method to implement services at any fab/facility, and customize them to clients’ needs. These new facilities services have already been implemented by several major tier-one OEMs in the United States. In the past, facilities services have traditionally been performed in-house or completely outsourced to a third-party vendor. Instead, NSTAR’s approach to facilities services uses already-proven methods, allowing clients to ultimately maintain control over their operations rather than depend entirely on third-party processes.

Sono-Tek Corp. unveiled a new photoresist ultrasonic coating system in Booth #2146. The new photoresist coating system, SPT200, has been designed specifically to meet the unique challenges of coating high aspect ratios and deep well topographies such as MEMS wafers with photoresist.

The SPT200 replaces traditional spin coating equipment, providing more uniform coverage of side walls in difficult to coat applications. Ultrasonic spray has been used for photoresist deposition for years, and is a well proven method for semiconductor lithography manufacturing.

SPT200 is typically configured with Vortex or AccuMist ultrasonic spray shaping nozzles, depending upon coating requirements. Sono-Tek’s team of application engineers ensures the correct configuration for each process. At the heart of the system is Sono-Tek’s patented ultrasonic nozzle technology. All ultrasonic nozzles feature up to 95 percent reduction in material consumption, non-clogging performance, and precise, targeted spray patterns at ultra-low flow rates.

SPT200 unique system features include:
• Automated spray coating with recipe storage
• Designed for 100, 150, 200, and 300mm wafers
• Precision temperature control
• Integrated wafer lockdown
• Highly repeatable syringe pump with auto refill
• Manual wafer load/unload
• Highly repeatable, stable process

Sono-Tek has application expertise in depositing photoresist onto MEMS and other semiconductor wafer substrates.

Pall Corporation announced this week the availability of its new 5nm XpressKleen filter. The filter is the latest addition to the company’s XpressKleen chemical filter line-up and is a key component of Pall’s disposable PFA (Perfluoroalkoxy alkanes) KleenChange assemblies. The new 5 nm XpressKleen filter is designed to meet the growing defectivity challenges of sub-10nm critical chemical processing. It demonstrates finer retention, fast flow, and higher purity than previous filters. Retention is validated using Pall’s gold nanoparticle challenge test.

“The 5 nm XpressKleen filter leverages Pall’s proprietary ‘XP’ cleaning process that reduces trace metal contamination by 50% to less than 500 parts per trillion (ppt) total for nineteen critical metal ions for a ten-inch device,” said Steve Chisolm, President of Pall Microelectronics. “The ‘XP’ cleaning process also removes organics, surface particles, and anions. Pall is proud to bring these important purity and retention capabilities to the market to enable the semiconductor scaling cadence.”

Pall’s completely integrated manufacturing capability extends from PTFE resin to the finished filter device. The company’s advanced manufacturing process uses clean room manufacturing and statistical process control to ensure the reliability and performance of every 5nm XpressKleen filter.

By Ed Korczynski, Sr. Technical Editor

Medical and health/wellness monitoring devices provide critical information to improve quality-of-life and/or human life-extension. To meet the anticipated product needs of wearable comfort and relative affordability, sensors and signal-processing circuits generally need to be flexible. The SEMICON West 2016 Flexible Electronics Forum provided two days of excellent presentations by industry experts on these topics, and the second day focused on the medical applications of flexible circuits.

Flexible ultra-thin silicon

While thin-film flexible circuits made with printed thin-film transistors (TFT) have been developed, they are inherently large and slow compared to silicon ICs. Beyond dozens or hundreds of transistors it is far more efficient to use traditional silicon wafer manufacturing technology…if the wafers can be repeatedly thinned down below 50 microns without damage.

Richard Chaney, general manager of American Semiconductor, presented on a “FleX Silicon-on-Polymer” approach that provides a replacement polymer substrate below <1 micron thin silicon to allow for handling and assembly. Processed silicon-on-insulator (SOI) wafers are front-side temporarily bonded to a “handle-wafer”, then back-side grinded to the buried oxide layer, then oxide chemically removed, and then an application-specific polymer is applied to the backside. After removing the FleX wafer from the handle-wafer, the polymer provides physical support for dicing and the rest of assembly.

For the last few years, the company has been doing R&D and limited pilot production by shipping lots of wafers through partner applications labs, but in the second-half of 2015 acquired a new manufacturing facility in Boise, ID. Process tools are being installed, and the first product dice are “FleX-OPA” operational amplifiers. Initial work was supported by the Air Force Research Laboratory (AFRL), but in the last 12-18 months the company has seen a major increase in sample requests and capability discussions from commercial companies.

Printed possibilities

Bob Street of Xerox’s Palo Alto Research Center (PARC) presented on “Printed hybrid arrays for health monitoring.” There are of course fundamentally different sensor needs for different applications, and PARC is working on many thin-film transducers and circuits:

Gas sensing – outer environment or human breath,

Optical sensing – monitoring body signals such as blood oxygen,

Electrochemical sensing – detect specific enzymes, and

Pressure/Accelerometers – extreme physical conditions such as head concussions

“There are many and various ways that you can do health monitoring,” explained Street. “There will be sensors, and local electronics with amplifiers and logic and switches. One of the prime features of printing is that it is a versatile system for depositing different materials.”

PARC has built an amazing printing system for R&D that includes different functional dispense heads for ink-jet, aerosol, and extrusion so that a wide varieties of viscosities can be handled. The system also include integrated UV-cure capability. Printing tends to have the right spatial resolution on the scale of 50-100 microns for the target applications spaces.

PARC worked on an early system to monitor for head concussions and store event information. They used printed PVDF material to print accelerometers and pressure sensors, as well as ferroelectric analog memory. Various commercially available materials are used to print organic thin-film transistors (OTFT) for digital logic. For complementary digital logic, different metals would conventionally be needed for contacts to the n-type and p-type TFTs, but PARC found an additive layer that could be applied to one type such that a single metal could be used for both.

A gas sensor prototype that can can detect 100-1000ppm of carbon-monoxide was printed using carbon nano-tubes (CNT) as load resistors. They printed a 4-stage complementary inverter to provide gain, using 7 different materials. “This is a case where a very simple device uses many layers,” explained Street. “Four drops of one materials does it, so you wouldn’t look at using a subtractive process for this.”

Rigid/flex integration

Dr. Azar Alizadeh, GE Global Rsearch, presented on “Manufacturing of wearable sensors for human health & performance monitoring.” Wearables in healthcare applications include medical, high exertion, occupational, and wellness/fitness. The Figure shows a flexible blood pressure-sensor that measures from a finger-tip. Future flexible devices are expected to provide more nuanced biometric information to enable personalized medicine, but any commercially viable disposable device will have to cost <$10 to drive widespread adoption. Costs must be limited because just in the US alone the annual amount spent to serve ~50M patients in hospitals is >$880B.

Finger-tip optical blood-pressure sensor created with printed photodetector by GE Corp.

Finger-tip optical blood-pressure sensor created with printed photodetector by GE Corp.

Busch LLC has launched a new program where, at key sites around the world, they have the ability to re-manufacture any major brand of vacuum pump, including high vacuum turbos and cryos.

“In the last year, we’ve made a lot of investments in re-manufacturing,” said Derek Shields, Director Strategic Alliances, Busch. The company is calling it re-manufacturing rather than servicing because of the application of manufacturing principles, including lean practices, to the service operation. Re-manufacturing services extend to major brands of gas abatement systems, although those are typically done on-site.

Shields said that rather than performing service at what he calls “supercenters,” as other suppliers are doing, Busch is striving to offer local support. “We’re actually investing in areas where there are semiconductor clusters so that we’ve got support locally,” Shields said.

“We’re keeping those pumps alive, because there’s really nothing wrong with the pumps. We can actually improve upon the older technology vacuum pumps,” Shields said. Typical turnaround time for a pump re-manufacture is about 4 weeks, but that can be expedited upon request.

The main advantage of the re-manufacturing capability is risk mitigation. “The risk of the pump failing in its service window is negated,” he said.

The latest addition to the Busch lineup is a new facility in Austin, TX. This 44,000ft2 facility will offer singe piece flow re-manufacturing with four flow line capabilities, processing 16 modules per day from disassembly to testing. It also has the potential to serve as a distribution hub for pumps and parts.

Some upgraded features of the building include additional space, a training center, a fully exhausted disassembly area and visual production planning by way of large screens in each area tracking actual movements in flow lines. A visitor walkway will allow visitors to view the production area without entering it, and customers will be able to track their repairs via the web in real time. “Our customers can go into the tracker and see where their pumps is in the service process. It will also give them push notifications of where their pump is and when it’s ready,” Shields said.

9:30 am –10:15 am
CLOSING KEYNOTE: Internet of Things in Smart Manufacturing
Atul Mahamuni, Vice President, Internet of Things, Oracle
Keynote Stage

10:00 pm – 3:00pm
University Day: Future U
Exploring Careers in Microelectronics
Rm 304, Esplanade

10:30 am – 4:00 pm
Smart Manufacturing
Keynote Stage

10:30 am – 12:30 pm
Sensing the Future: Enabling Applications for a Smarter World
TechXPOT North

10:30 am –12:50 pm
3D Printing: A New Dimension in Manufacturing
TechXPOT South

1:45 pm – 4:00 pm
IoT Startups and Hackathon Showcase

2:00 pm – 3:00 pm
Best of West Showcase
Innovation & IoT Theater

By Pete Singer, Editor-in-Chief

On Wednesday, Solid State Technology and SEMI announced the recipient of the 2016 “Best of West” Award — Coventor — for its SEMulator3D. The award recognizes important product and technology developments in the electronics manufacturing supply chain. The Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Coventor won the “Best-of-West” award for its SEMulator 3D modeling software. Left to right, SEMI’s Karen Savala, Dinesh Bettadapur, vice president, business development at Coventor, who received the award, and Pete Singer, Editor-in-Chief of Solid State Technology.

Coventor won the “Best-of-West” award for its SEMulator 3D modeling software. Left to right, SEMI’s Karen Savala, Dinesh Bettadapur, vice president, business development at Coventor, who received the award, and Pete Singer, Editor-in-Chief of Solid State Technology.

Coventor’s SEMulator3D is a 3D semiconductor process modeling platform that can predictively model any fabrication process applied to any semiconductor design. Starting from a “virtual” silicon wafer, the product performs a series of unit processes like those in the fab to create highly accurate 3D computer models of the predicted structures on wafer.

“It’s a very powerful software modeling platform that has been widely adopted for advanced process development and integration for 10nm, 7nm nodes and beyond,” said Dinesh Bettadapur, vice president, business development at Coventor. Bettadapur accepted the award in the Coventor booth, presented by Solid State Technology’s Pete Singer and SEMI’s Karen Savala.

Bettadapur noted that advanced devices are increasingly becoming 3D, whether it’s finFET structures, 3D NAND or gate-all-around. “We enable you to both visualize the device you’re trying to build in advance without running a single wafer, and also accurately predict process variations,” he said.

Using unique physics-driven 3D modeling technology, the SEMulator3D modeling engine can model a wide variety of unit process steps. Each process step requires only a few geometric and physical input parameters that are easy to understand and calibrate. Just as in an actual fab, upstream unit process parameters (such as deposition conformality, etch anisotropy, selectivity, etc.) interact with each other and design data in a complex way to impact the final device structure.

“You can analyze any process variation, whether it’s film thicknesses, sidewall angles, etch depths, litho biases and so forth. You can vary any process parameter that you have entered in our process simulator and then look at the upstream and downstream process effects,” Bettadapur said.

Starting from input design data, SEMulator3D follows an integrated process flow description to create the virtual equivalent of the complex 3D structures created in the fab. Because the full integrated process sequence is modeled, SEMulator3D has the ability to predict downstream ramifications of process changes that would otherwise require build-and-test cycles in the fab.

On display at Coventor’s booth is 3D sculpture modeled on 14nm FinFET Technology (see photo). This piece received the grand prize at the Design Automation Conference (DAC) last month.

The piece was produced on a state-of-the-art 3D printer from Stratasys, using SEMulator3D to generate the data. The effort was supported by GrabCad, a digital manufacturing hub that helps designers and engineers build great products faster.

With SEMulator3D, Coventor created a large model of 14nm FinFET transistors, across a wide area of SRAM design, at high resolution, integrated from starting wafer through Metal 3, with some artistic cut-outs for visibility.   The resulting model reinforced all the key advanced capabilities of SEMulator3D, including multietch, visibility-limited deposition, selective epitaxy and many others.

As DAC grand prize winner, the 14nm FinFET 3D Sculpture will now be moved to the Computer History Museum in Mountain View, CA where it will be on display for one year.

Hear more about the SEMulator 3D and all of the Best of West finalists today at the Best of West Showcase in the Advanced Manufacturing Forum at TechXPOT South from 2:00pm-3:30pm.

SEMulator3D Viewer, showing a hypothetical 22nm FinFET SRAM cell

SEMulator3D Viewer, showing a hypothetical 22nm FinFET SRAM cell

By Ed Korczynski, Sr. Technical Editor

New Materials Need New Handling Approaches photo

Wenge Yang, Vice President of Corporate Marketing, Entegris

Wenge Yang is vice president of corporate marketing for Entegris, and before joining the company in 2012 he earned a Ph.D. in Materials Engineering and served in various executive roles at Advanced Micro Devices, Tokyo Electron, and two startup companies, so he has a uniquely valuable perspective on materials trends in IC fabs. Yang spoke with the Show Daily about major trends in High Volume Manufacturing (HVM), and about the topics that will be discussed in the Entegris Yield Breakfast Forum “Yield Enhancement Challenges in Today’s Memory IC Production” happening Thursday morning, July 14.

 

3D-NAND

On the memory side the biggest challenge is that investment into different memory technologies has slowed innovation in DRAM. “People will hold the R&D money away from DRAM to try to find a DRAM-killer. So most of the innovation in memory is in 3D-NAND, and obviously Samsung is leading the industry with moves to build two new production lines to try to dominate the market.”

One of the known difficulties in 3D-NAND HVM is the etching and filling of contacts to the side “staircase” structure. Today the material used for contact fill is tungsten (W), while standard WF6 gas precursor shows some limits in ability to fill these contacts and in reliability. Going to more layers generally means deeper holes to fill, so fabs are exploring new fluoride-free-tungsten using chloride chemistry precursors which promise better process results.

EUVL

EUV lithography has been debated for many years,” reminded Yang. “Finally, it has been developed to the point that it will be used in 2018 for pilot and in 2020 for production. Logic fabs will use it for 7nm-node processing, while in foundry fabs the 5nm-node will be the insertion point.”

Inpria and many of the legacy photoresist suppliers are developing new metal-core photoresist chemistry for improved sensitivity and Line-Width Roughness (LWR) in EUVL. Yang explains that new handling technologies will be needed for such photoresists, “A new requirement in purification is needed, while the filtration requirement for particles remains. This comes along with what we call ‘metal-phobia’ at the leading edge. In the past part-per-trillion levels were not issues, while today the whole delivery path becomes an issue and customers now ask about the materials of construction of all fluid-path components to ensure that no contaminants leach out into chemistry.”

Purity uncertainties

At the leading edge, a lot of focus is on gas purity requirements of new metal-organic precursors needed for ALD/CVD. “In reality, if we talk to IDMs they say that they honestly don’t know what is the right spec. Maybe part-per-trillion is too much, but they will say that they do not want to leave risk in the process,” confided Yang. “There are cases where a customer sees something happen and they can trace the problem back to a metal contamination level in a precursor. Obviously we know that less metal should be better, but we generally lack the ability to know exactly so the spec tends to stay at the prior node level.”

“In terms of the business dynamics, it is a challenge for us to create new products that meet the evolving needs of our leading customers,” explained Yang. “However the greater challenge is the serious overhead investment needed for more on-site customer support and more analytical lab tests. Supporting today’s customers is painful today, so smaller companies may find it too difficult and expensive to stay in the market.”

On Thursday morning of SEMICON West in the Yerba Buena level of the Marriott Marquis hotel, Entegris will host the 7th annual Yield Breakfast Forum. Micron will talk about XPoint manufacturing technology it has co-developed with Intel. XMC will talk about the dynamic of China developing it’s own materials supply-chain.

By Pete Singer, Editor-in-Chief

Fan-out wafer level packaging (FOWLP) is gaining traction, leading to higher I/Os and larger formats, and new mobile displays are pushing the limits of pixel per inch (PPI) while also moving to larger formats. Both trends are driving new requirements for lithography equipment, including steppers, track systems and photoresists. Both packages and displays are employing new types of materials and thinner substrates as well. “There’s a lot of commonality between the advanced display technologies and packaging technologies,” said Rich Rogoff, vice president and general manager of Rudolph’s Lithography Systems Group. “The step-and-repeat system approach is ideally suited to address those challenges.”

Key lithographic challenges of advanced packaging and displays are shown in Figure 1.

Figure 1. Key lithographic challenges of advanced packaging and displays are shown.

Figure 1. Key lithographic challenges of advanced packaging and displays are shown.

Rogoff said another big challenge is the ability to manage what he calls dimensionally unstable material. “These are materials that change with time, with temperature, with humidity and with process steps, every time they come back through a lithography step they can change form. Steppers have to be able to deal with that,” he said.

Rogoff also said he’s seeing changes in imaging chemistries which are creating another challenges. “We’re doing things now from broadband resist to i-line resist, from thin-films to thick films, to dry films to organic chemistries. It’s all over the field here with respect to what types of chemistries are being used to image, and the challenge is of course when going from a thick material to a thin material and varied compositions, you get a much different kind of imaging characteristic. Really you need to be able to manage all of those without having to change your lithography system,” he said.

In packaging applications, large topography is yet another challenge. In a fan-out type of situation, there can be significant differences in heights between the substrate and the die, for example. “You’re having to image through, in some cases, >20 microns of photoresist for a two or three micron line, and that becomes a very big challenge,” Rogoff said. “The package size and the display sizes are also getting bigger, and so you need to try to get as much as you can into one imaging field. The lenses need to have a very large field of view.”

FOWLP, where individual die are connected on redistribution layer, is expected to lead to a major change in process equipment. Today, die are “reconstituted” on a wafer. In the future, as volume increases, a move to high density panels is expected. “As the demand goes up, certainly panels make the most sense,” Rogoff said.

Earlier this year, Rudolph announced that a leading outsourced assembly and test facility (OSAT) has placed an order for the JetStep Lithography System for the semiconductor advanced packaging industry’s first panel manufacturing line. “That’s the first true panel fan-out application that’s moving forward, especially in the OSAT world,” Rogoff said.

While the stepper part of the litho equation is ready for “panelization,” the rest of the industry infrastructure is working from two directions. One, from printed-circuit board type solutions where thick resist are dry films. The other, from the display side, uses thin chemical resists. “Somehow we have to bridge the gap between a thin film and a thick film,” Rogoff said. “These are some of the infrastructure things that are still being worked out, but I think those are relatively easy to solve.”

Elvino da Silveira, Rudolph’s vice president of marketing, said he’s seen some recent changes. “Last year, when we were talking to the various customer and partners that we interact with in terms of the panel level fan out, everybody was really focused on doing reconstituted panels, the face-down type chips. Basically taking the EWLB process and scaling it up to the panel level. As time has gone on, and with TSMC bringing out InFO and so forth, there have been several players that are more open to doing this on a carrier. It adds some costs, but at least based on the general feedback we’ve gotten from some of the industry , scaling up to the larger substrate offsets the additional cost of the carrier,” he said.

Figure 2 (presented at SEMI’s Industry Strategy Symposium in January by Babak Sabi, corporate vice president, director, assembly and test technology department, Intel Corp.) shows the expected progression of packaging technology as IO density increases. Flip chip, ball grid array on the left (the orange box) has 15-60 micron feature sizes depending on the layer and the type of feature being exposed.

Figure 2. As IO density increases, new packaging technologies will be required (SWIFT, SLIT, SLIM and INFO-WLP are trademarks of Amkor, ASE and TSMC). Source: Intel (SEMI Industry Strategy Symposium 2016)

Figure 2. As IO density increases, new packaging technologies will be required (SWIFT, SLIT, SLIM and INFO-WLP are trademarks of Amkor, ASE and TSMC). Source: Intel (SEMI Industry Strategy Symposium 2016)

The next generation, (the yellow box) indicates fan out packaging. “We’re still more towards that boundary between the orange and the yellow, because really no one’s producing sub-five microns in HVM today. Most of it is between 5 and 10,” da Silveira said.

The next level (the green box) indicates embedded technology, such as Intel’s Embedded Multi-die Interconnect Bridge (EMIB). Instead of using a large silicon interposer typically found in other 2.5D approaches, EMIB uses a very small bridge die, with multiple routing layers. Here, the IOs are getting much higher, and the feature sizes are getting pushed toward two microns. As technology moves from the yellow box to the green box, expect a switch from wafers to panels.

SEMI honored four industry leaders for their outstanding accomplishments in developing Standards for the electronics and related industries. The SEMI Standards awards were announced at the SEMI International Standards reception held during SEMICON West 2016.

The 2016 SEMI International Standards Excellence Award, inspired by Karel Urbanek,is the most prestigious award in the SEMI International Standards Program. Yesterday, it was awarded to Terry Asakawa of Tokyo Electron.   His leadership was critical in establishing the PV Automation Global Technical Committee and its subsequent transformation into the Automation Technology Global Technical Committee, as he envisioned how the SEMI Standards Program could effectively address simpler, flow-oriented manufacturing in industries outside of semiconductor manufacturing. In addition, he led the identification of previously unknown incompatibility issues and lack of evaluation methods for interoperability and closed the gap with two important documents on FOUP-Load Port Interoperability Implementation. In recent years, Asakawa has been very active in development work to enhance the GEM300 Standards with contemporary concepts (e.g., scheme for secure recipe management and use of prediction in real time carrier logistics controls). He continues to make major contributions to increasing the usability and relevance of SEMI equipment communication Standards, which are essential to Smart Manufacturing.

In addition to the 2016 SEMI International Standards Excellence Award, the recipients of three other major SEMI Standards awards were also announced:

The Merit Award

The Merit Award recognizes major contributions to the SEMI International Standards Program.  Award winners typically take on a very complex problem at the task-force level, gain industry support, and drive the project to completion. This year, the award was presented to Kurt Haller of KLA-Tencor. Haller has been a key member of the Silicon Wafer technical committee for years, and is currently the North American leader for the International Automated Advance Surface Inspection Task Force. His diplomatic leadership strengthened collaboration within the international community, enabling the task force to efficiently revise and maintain wafer inspection standards to current technology including SEMI M35 – Guide for Developing Specifications for Silicon Wafer Surface Features Detected by Automated Inspection, M50 – Test Method for Determining Capture Rate and False Count Rate for Surface Scanning Inspection Systems by the Overlay Method, M52 – Guide for Specifying Scanning Surface Inspection Systems for Silicon Wafers for the 130nm to 11nm Technology Generations, M58 – Test Method for Evaluating DMA Based Particle Deposition Systems and Processes, MF1048 – Test Method for Measuring the Reflective Total Integrated Scatter, and MF1811 – Guide for Estimating the Power Spectral Density Function and Related Finish Parameters from Surface Profile Data within the past three years.

The Leadership Award 

The Leadership Award recognizes outstanding leadership in guiding the SEMI International Standards Program. Sean Larsen of Lam Research has been the leader of the North America EHS Technical Committee (TC) Chapter and task forces for over a decade. He is the co-leader of SEMI S22 (Electrical Design) Revision Task Force, SEMI S2 Non-Ionizing Radiation Task Force, and Control of Hazardous Energy Task Force. Larsen is very engaged in global EHS Committee activities, as well as the North American Regional Standards Committee and, previously, the International Standards Committee. In both the North America (NA) EHS Technical Committee and the NA Regional Standards Committee, he has established forums for discussing Standards rules, questions, and problems, as well as developed processes for suggesting changes to the Regulations when determined to be appropriate. Larsen’s deep knowledge of the Standards Program provides guidance and support to the challenging EHS Committee.

The Legacy Award 

Win Baylies of BayTech-Resor was recognized with the SEMI Standards Legacy Award for his valuable contributions and continued dedication to the SEMI International Standards Program, which is celebrating its 43rd anniversary this year. Since the 1970s, Baylies has been involved with numerous committees including Flat Panel Display, Photovoltaic, Silicon Wafer, Traceability, Compound, High-Brightness LED, 3-Dimensional Stacked Integrated Circuits, and MEMS. Baylies has tirelessly promoted Standards development internationally, recruited key volunteers throughout the supply chain and conducted countless education programs. His long-standing dedication to the advancement of SEMI Standards has been instrumental for SEMI.

For more information about SEMI International Standards, visit www.semi.org/en/Standards.