Category Archives: Wafer Processing

Worldwide semiconductor manufacturing equipment billings reached US$16.7 billion in the second quarter of 2018, 1 percent lower than the previous record quarter and 19 percent higher than the same quarter a year ago, SEMI, the global industry association representing the electronics manufacturing supply chain, reported today.

The data are gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from more than 95 global equipment companies that provide monthly data. The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

2Q2018
1Q2018
2Q2017
2Q18/1Q18
(Qtr-over-Qtr)
2Q18/2Q17
(Year-over-Year)
Korea
4.86
6.26
4.79
-22%
2%
China
3.79
2.64
2.51
44%
51%
Japan
2.28
2.13
1.55
7%
47%
Taiwan
2.19
2.27
2.76
-4%
-21%
North America
1.47
1.14
1.23
29%
20%
Europe
1.18
1.28
0.66
-7%
80%
Rest of World
0.96
1.27
0.62
-24%
56%
Total
16.74
16.99
14.11
-1%
19%

Source: SEMI (www.semi.org) and SEAJ, September 2018

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market.

SEMI announced today the October 9 deadline for presenters to submit abstracts for the annual SEMI Advanced Semiconductor Manufacturing Conference(ASMC). ASMC, May 6-9, 2019, in Saratoga Springs, New York, will feature technical presentations of more than 90 peer-reviewed manuscripts covering critical process technologies and fab productivity.

ASMC 2019 will feature keynotes, a panel discussion, networking events, technical sessions on advanced semiconductor manufacturing, and tutorials. The conference will also feature a special student poster session to highlight student projects related to semiconductor manufacturing.

Selected speakers will present to IC manufacturers, equipment manufacturers, materials suppliers, chief technology officers, operations managers, process engineers, product managers and academia. All technical papers will be published by IEEE, and authors also may receive an invitation to publish their papers in a special section for ASMC 2019 to be featured in IEEE Transactions on Semiconductor Manufacturing. Technical abstracts are due October 9, 2018, and can be submitted here

ASMC 2019 will cover the following topics:

  • Advanced Equipment Processes and Materials
  • Advanced Metrology
  • Advanced Equipment Processes and Materials
  • Advanced Patterning / Design for Manufacturability
  • Advanced Process Control
  • Contamination Free Manufacturing
  • Big Data Management and Mining
  • Defect Inspection and Reduction
  • Discrete and Power Devices
  • Enabling Technologies and Innovative Devices
  • Equipment Reliability and Productivity Enhancements
  • Factory Automation
  • The Fabless Experience
  • Green Factory
  • Industrial Engineering
  • Lean Manufacturing
  • MOL and Junction Interfaces
  • Smart Manufacturing
  • Yield Enhancement/Learning
  • Yield Methodologies
  • 3D Packaging and Through Silicon Via

ASMC, in its 30th year, continues to fill a critical need for the industry, providing a venue for professionals to network, learn and share knowledge about semiconductor manufacturing best practices.

Details on how to upload abstracts can be found here. To learn more about the conference and the selection process, please contact Margaret Kindling at [email protected] or call 1.202.393.5552.   

Papers co-authored by device manufacturers, equipment or materials suppliers, and/or academic institutions that demonstrate innovative, practical solutions for advancing semiconductor manufacturing are highly encouraged.

ASMC is organized by SEMI Americas to connect more than 2,000 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing

By Michael Droeger

Over the past three decades, most of the world’s innovations have centered largely on business models and involved iterative advances of existing technologies, with none matching the global impact of the top 10 semiconductor industry discoveries and advances, Dr. Morris Chang, founder of TSMC and the IC foundry model, said at SEMICON Taiwan 2018 this week.

Few have as clear a perspective on the transformative power of semiconductors as Dr. Chang, founder of TSMC and father of the IC foundry model. Keynoting the IC60 Master Forum celebrating the 60th anniversary of the invention of the integrated circuit (IC), Dr. Chang listed what he considers the 10 key semiconductor industry innovation milestones since 1948:

1. Invention of the transistor by Shockley, Bardeen, and Brattain – 1948

2. Silicon transistor – 1954

3. Integrated circuit – 1958

4. Moore’s Law – 1965

5. MOS technology

  1. MOS FET – 1964
  2. Silicon gate – 1967
  3. CMOS  – 1970

6. Memory

  1. DRAM – 1966
  2. Flash – 1967

7. Outsourced assembly and test (OSAT) – 1960s

8. Microprocessor – 1970

9. VLSI systems design – 1970-1980

  1. IP and design tools – 1980-present

10. Foundry model – 1985

Among the most consequential semiconductor advances may be yet to come, Dr. Chang said, citing innovations including artificial intelligence (AI) and machine learning, new device architectures, Extreme Ultraviolet lithography (EUV), 2.5D/3D packaging, and new materials such as graphene and carbon nanotubes.

Dr. Chang argued that because bringing an innovation into production is immensely more expensive than proving a theory in a lab, innovators are not always the ones to implement and benefit from their novel ideas. Today, innovation costs are skyrocketing, driving more consolidation across the supply chain.

Michael Droeger is director of marketing at SEMI.

Originally published on the SEMI blog.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $39.5 billion for the month of July 2018, an increase of 17.4 percent compared to the July 2017 total of $33.6 billion. Global sales in July 2018were 0.4 percent higher than the June 2018 total of $39.3 billion. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry posted its highest-ever monthly sales in July, easily outpacing last July and narrowly ahead of last month’s total,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Sales were up year-to-year across every major semiconductor product category and regional market, with the China and Americas markets leading the way with growth of greater than 20 percent.”

Regionally, sales increased compared to July 2017 in China (29.4 percent), the Americas (20.7 percent), Europe (11.7 percent), Japan (11.5 percent), and Asia Pacific/All Other (5.7 percent). Sales were up compared to last month in China (1.7 percent) and the Americas (0.4 percent), held flat in Asia Pacific/All Other, and decreased slightly in Japan (-0.1 percent), and Europe (-2.4 percent).

For comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, consider purchasing the WSTS Subscription Package. For detailed data on the global and U.S. semiconductor industry and market, consider purchasing the 2018 SIA Databook.

Dr. Gary Patton, Chief Technology Officer and Senior Vice President of Worldwide Research and Development at GLOBALFOUNDRIES, will deliver the opening keynote address at the inaugural GSA Silicon Summit – East, being held Tuesday, October 9 in Saratoga Springs, NY.

The conference is presented by the Saratoga County Prosperity Partnership (Saratoga Partnership), Saratoga County, NY’s economic development agency; the Global Semiconductor Alliance (GSA), a leading voice for the worldwide semiconductor industry; and the Center for Economic Growth (CEG), a regional economic and business development organization.

A well-recognized industry leader in semiconductor technology R&D with over 30 years of semiconductor experience, Gary Patton is responsible for GLOBALFOUNDRIES’ semiconductor technology R&D roadmap, operations and execution. His address will discuss “Market Drivers for Moore and Beyond Moore Semiconductor Technologies.”

“We are delighted that Gary Patton, a distinguished technologist, innovator, visionary and thought leader in the semiconductor industry, will be launching the first GSA Silicon Summit – East with his keynote,” said Shrikant Lohokare, Executive Director and Senior Vice President, GSA. “I can see Gary’s unique insights into technology inflections and emerging market opportunities they enable at the leading edge of Moore’s Law and Beyond setting the tone for a fantastic program and discussions to follow.”

“Gary Patton is the perfect choice to kick off Silicon Summit – East, which focuses the eyes of the semiconductor world squarely on Saratoga County,” said Marty Vanags, President of the Saratoga County Prosperity Partnership. “Gary’s leadership role in enabling GLOBALFOUNDRIES’ position as the world’s most advanced semiconductor manufacturing operation demonstrates his success in driving innovative technology that delivers business results.”

Prior to joining GLOBALFOUNDRIES, Patton was Vice President of IBM’s Semiconductor Research and Development Center, where he was responsible for IBM’s semiconductor R&D and technology development alliances. A Fellow of the IEEE, he received the 2017 IEEE Frederik Philips Award for industry influence and leadership in the development of leading-edge microelectronics technology and collaborative research, and in 2016 was inducted into the VLSI Research Hall of Fame for his contributions to the advancement of the semiconductor industry over several decades.

Additional speakers confirmed at this time include Mukesh Khare, Vice President of the IBM Research Semiconductor Group; Gary Bronner, Deputy CTO and Senior Vice President of Rambus Labs/Rambus; and Huibert Verhoeven, Senior Vice President and General Manager of the IoT Division, Synaptics.  A complete list can be found at https://www.gsaglobal.org/2018sse/meet-the-speakers/.

Registration is now open for Silicon Summit – East at https://www.gsaglobal.org/2018sse/registration/. Complete information about the event, including the program and sponsorship opportunities, can be found at https://www.gsaglobal.org/2018sse/.

GSA Silicon Summit – East is the product of a strategic alliance established last year by the Saratoga Partnership and GSA, which represents more than 400 member companies in 32 countries that combine for over 75 percent of revenue in the $400 billion semiconductor industry. With a theme of “Harnessing Emerging Semiconductor Market Opportunities,” the event is designed to promote partnerships and drive efficiencies that advance semiconductor technology and business, while also informing the regional ecosystem on growth opportunities.

 

Global semiconductor capital equipment manufacturer OEM Group announced a major engineering design upgrade of its Semitool Spin Rinse Dryer, a platform for removing residual chemicals from semiconductor substrates and other materials such as optical lenses. The new SRD800 series features several upgrades, including a robust Windows OS, advanced automation, an intuitive GUI, and patent-pending SmartPartsuptime technology. Along with improved ergonomic functionality, the many system upgrades enhance management capabilities and gives users the ability to track and control operations in real time in order to significantly reduce overall cost of ownership.

OEM Group acquired the Semitool IP in 2011 and has continually added quality and reliability upgrades to its best-in-class SRD product — the world standard for high-performance cleaning, rinsing, and drying with more than 25,000 units currently in use. “The latest iteration was meticulously redesigned by listening to our customers,” said Rich Maduzia, OEM’s Global Product Marketing Manager. Three models are offered: Tabletop SRD810, Roll-around SRD815, and Stacker SRD820 — all of which include low-profile cassettes that can accommodate substrates of up to 200mm (round) and 175mm (square). The SRDHP series is for high-profile cassettes and can accommodate substrates of up to 200mm (round or square).

Key upgrades include: a newly designed frame that isolates vibrations to protect wafers, reduce wear-and-tear, and extend the SRD’s lifecycle; a slide-out tray for easy access to electrical components; and uniform nozzle spraying across wafers. The brushless motor has a high-resolution encoder with programmable acceleration/deceleration that delivers precise rotational speeds and quick accurate ramp up from 0 to 2,800 RPM, as well as precision control at low speeds.

The non-contact, programmable N2 (nitrogen gas) heater and blanket chamber heater provide more control over drying to prevent warping of substrates, an N2 purge saver — now a standard feature — can save up to 23 liters/min. at idle, and an N2 regulator prevents excessive pressure to protect against window cracking. Additionally, the new system includes DI (deionized water) monitoring and regulation that tracks water consumption, detects clogged nozzles and poor water pressure, and ultimately, saves water and money. Precision monitoring and data logging for N2 and DI usage enable process engineers to fine-tune operations to lower the cost of ownership over time.

The SRD800/SRD800HP series is built with industry standard components for quick repair and replacement. A major cost-saving feature is OEM’s SmartPartstechnology providing automatic tracking of components against the manufacturer’s suggested lifetime, enabling proactive maintenanceto prevent downtime failures. “The innovative, new system has component part numbers for reordering built right into the user interface, so it’s easy to take control and plan for downtime,” said Chris Forgey, OEM’s CTO. “SmartParts is now also integrated across all of OEM’s new tool platforms,” he added.

OEM Group is a global supplier of wafer fabrication equipment and services in the semiconductor industry offering a broad portfolio of products for thin film deposition, plasma etch, rapid thermal process, ion implantation, and wafer surface preparation used throughout the semiconductor manufacturing process flow and in Fabs worldwide.  In addition, OEM Group offers an Applications Lab for wet processing and Foundry Services for piezoelectric AlN and AINSc films as well as Global Services and Technical Support. For more information, visit www.oemgroupinc.com.

Lattice Semiconductor Corporation (NASDAQ: LSCC), a provider of customizable smart connectivity solutions, announced the appointment of Steve Douglass as the Company’s Corporate Vice President, R&D, effective immediately. Mr. Douglass brings extensive experience building and leading global high performing engineering and customer-facing teams that deliver industry-leading IC products and solutions. He has a proven track record of creating and executing business strategies that inspire customer innovation and deliver profitable results. Prior to Lattice, Mr. Douglass was the Corporate Vice President, Customer Technology Deployment at Xilinx, Inc.

Jim Anderson, President and Chief Executive Officer, said, “We are excited to have Steve Douglass join Lattice. His proven ability to lead global FPGA and CPLD teams, and drive customer-focused innovation in targeted applications make him the perfect fit. His technical skills, market knowledge and leadership capabilities will help further strengthen Lattice as we drive sustained growth and profitability by accelerating the worldwide adoption of our ground-breaking hardware and software solutions.”

Mr. Douglass said, “I am excited to be joining Lattice and leading its R&D efforts. Lattice has an excellent programmable product offering built by a talented engineering team. I look forward to the opportunity to further strengthen the portfolio with market driven innovations that will help deliver profitable growth for the Company.”

Steve Douglass brings to the role over 30 years of broad technology experience developing programmable solutions for many markets including wired and wireless communications, industrial, automotive and test and measurement. He is a 20-year Xilinx executive, most recently serving as Corporate Vice President, Customer Technology Deployment and leading the Worldwide Technical Sales and Support team since 2012. Prior to that, he held a wide range of leadership positions, including Vice President and GM, Advanced Products Division, and Vice President of Product Development.

Mr. Douglass was previously at Cypress Semiconductor for 13 years and served in various leadership roles, including Business Unit Director, CPLDs and FPGAs. He began his career as a Circuit Design Engineer at Intel Corporation. He was awarded 23 U.S. and International patents for FPGA and PLD architectures and circuits, and has received numerous industry honors including EDN’s Product of the Year and Innovator of the Year. He holds a Master of Science in Electrical Engineering from Stanford University and Bachelor of Science in Electrical Engineering from Santa Clara University. He also serves on Santa Clara University’s Electrical Engineering Industry Advisory Board.

Veeco Instruments Inc. (NASDAQ: VECO) today announced that John Peeler, Chairman and Chief Executive Officer, will transition to the role of Executive Chairman, effective October 1, 2018.  William J. Miller, currently President, will become Chief Executive Officer and will join the Company’s board of directors bringing the size of the board to eight.  Additionally, Shubham (Sam) Maheshwari will be named Chief Operating Officer and will continue in his role as Chief Financial Officer.

Peeler joined Veeco in 2007 as Chief Executive Officer and became Chairman of the Board in 2012.  As Executive Chairman, Peeler will work closely with Miller and the Board to ensure an effective transition of management.

“With his impressive background and track record of notable achievements across strategic, product development and operational assignments, there is no one better suited than Bill to take over the helm as Veeco looks forward to its next chapter,” stated Peeler. “Bill and the executive team have the industry experience to execute Veeco’s vision while remaining committed to enabling tomorrow’s technology breakthroughs.”

Over the last 16 years, Miller has held a variety of roles within Veeco.  Miller became President in 2016, overseeing all of Veeco’s global business units. Previously, he guided the strategic direction and product development for the Company’s MOCVD and Ion Beam product lines and was responsible for the Company’s global operations organization. Prior to joining Veeco, Miller held engineering and operations leadership roles with Advanced Energy and Exxon Corporation. He holds BS, MS and PhD degrees in mechanical engineering from the University of Pennsylvania.

“Veeco has built a reputation of helping customers overcome their most difficult technical challenges. This inspires us—along with our commitment to customer satisfaction,” noted Miller. “I want to thank John for his guidance and building such a strong leadership team. I intend to build on this legacy while discovering new opportunities to leverage the Company’s outstanding technology and unmatched talent.  I also appreciate the confidence the Board has placed in me.”

Richard D’Amore, Veeco’s lead independent director, added, “When John joined the Company in 2007, Veeco was considered a data storage and metrology company.  John’s vision and focus on execution transformed Veeco to be on the leading edge of the compound semiconductor and advanced packaging markets.  The Board appreciates all that John has contributed to the success of the Company and we have every confidence that Bill will build upon his progress, taking Veeco to the next level of performance.”

Maheshwari joined Veeco in 2014 with more than 20 years of experience in finance. He previously held senior and executive level positions in the semiconductor industry at KLA-Tencor, Spansion and OnCore. Maheshwari holds BS and MS degrees in chemical engineering and an MBA from the Wharton School of Business.  Working side-by-side with Miller, Maheshwari will be charged with advancing Veeco’s operations, customer satisfaction and profitability.

Veeco (NASDAQ: VECO) is a manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch & clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices.

SEMI Europe and AENEAS today announced that they have signed a Memorandum of Understanding (MOU) to form a strategic partnership to support the European electronics industry’s growth. Under the agreement, SEMI Europe and AENEAS will collaborate to bolster the industry through joint advocacy and communications, awareness building and the exchange of best practices.

As the global electronics industry enters a new area of digitalisation, Europe is aiming to take the research and development lead in smart applications such as autonomous transportation, augmented and virtual reality, wearables, artificial intelligence and high-performance computing.

“To foster Europe as a centre of excellence in smart applications, we need to strengthen its electronics ecosystem by creating tighter connections among large companies, SMEs, research organisations and academia,” said Reinhard Ploss, AENEAS President. “Our strategic partnership with SEMI Europe will help drive greater technology innovation by bringing these critical players together and enable members of both associations to pursue growth opportunities at the European level.”

“With excellent RD&I institutes, globally competitive materials and equipment businesses, and semiconductor manufacturers, Europe is strategically positioned to lead in the global electronics value chain,” said Laith Altimime, president of SEMI Europe. Together, SEMI Europe and AENEAS are committed to working to drive closer European-level collaboration between industry and research institutions in pre-competitive areas to develop innovative and sustainable solutions for the digital economy.”

AENEAS is a non-profit industry association established in 2006. The purpose of the association is to promote Research, Development and Innovation (RD&I) in order to strengthen the competitiveness of European industry across the complete Electronics Components and Systems (ECS) value chain.

The China IC Ecosystem Report, a comprehensive report for the IC manufacturing supply chain, reveals that front-end fab capacity in China will grow to account for 16 percent of the world’s semiconductor fab capacity this year, a share that will increase to 20 percent by the end of 2020. With the rapid growth, China will top the rest of the world in fab investment in 2020 with more than $20 billion in spending, driven by memory and foundry projects funded by both multinational and domestic companies, according to the new report released today by SEMI.

The report also shows that IC Design remained the largest semiconductor sector in China for the second year in a row with $31.9 billion in revenue in 2017, widening its lead over the long-dominant IC Packaging and Test sector. The ascent of China’s IC Design sector comes as the region’s equipment market is expected to claim the top spot in 2020 for the first time on the strength of the continuing development of its domestic manufacturing capability. China’s maturing domestic fab sector is also benefiting domestic equipment and materials suppliers. Both groups continue to see gains in their product offerings and capabilities, particularly in silicon wafer production. The China IC Ecosystem Report is produced by SEMI, the global industry association and provider of independent electronics market research.

The more than RMB140 billion (US$21.5 billion) accumulated by the National IC Fund, a critical component of the 2014 National Guideline to address China’s semiconductor trade deficit, has spurred rapid gains throughout the region’s IC supply chain. Semiconductors are China’s largest import by revenue. Phase 2 of funding aims to raise another RMB150-200 billion ($23.0-$30.0 billion).

Encouraged by the National Guideline and favorable policies, skilled overseas talent is returning to China, triggering an explosion of domestic IC Design start-ups that are benefiting from access to investment and favorable policies, the report shows.

Other highlights from The China IC Ecosystem Report include:

  • Currently 25 new fab construction projects are underway or planned in China. 17 – 300 mm fabs are being tracked as part of this investment and expansion activity. Foundry, DRAM and 3D NAND are the leading segments for fab investment and new capacity in China.
  • China’s IC Packaging and Test industry is also moving up the value chain by enhancing its technology offerings through mergers and acquisitions and building advanced capabilities to entice international integrated device manufacturers.
  • China’s IC materials market, currently dominated by Packaging materials, became the second largest regional market for materials in 2016, a position it solidified in 2017. China’s materials market is expected to grow at a 10 percent CAGR from 2015 to 2019, driven primarily by the region’s new fab capacity ramp in the coming years. Fab capacity will expand at a 14 percent CAGR during that period.

The China IC Ecosystem Report covers the latest semiconductor supply chain and market developments including the rise of China’s IC industry, national and local government policies, public and private funding, and their implications for China’s IC supply chain. The report also compares key domestic companies and their international peers segment by segment. To learn more and get a sample of the report, visit http://www.semi.org/en/china-ic-ecosystem-report.

Eugenia is a Senior Product Marketing Manager at SEMI. 

Originally published on the SEMI blog.