Category Archives: LEDs

The Critical Materials Council for Semiconductor Fabricators, originally established by ISMI/SEMATECH in the early 1990’s, will be managed by TECHCET CA LLC starting January 01, 2016. Under its new name CMC Fabs, the membership-based organization of semiconductor fab & fabless manufacturers will continue working to identify and remediate issues impacting the supply, availability, and accessibility of both current and emerging semiconductor process materials. In keeping with SEMATECH tradition, the work of the international council takes place in a non-competitive environment for the benefit of the semi device fabrication community. Topics addressed are identified and prioritized by the member companies.

The organization has a new website at cmcfabs.org, which includes an overview of the Council’s mission, news of upcoming events and a Members Only portal for access to minutes of monthly phone/WebEx meetings and workshop details. The site also features access for Members to the TECHCET Critical Materials Reports and the related quarterly updates.

The next face-to-face meeting of CMC Fabs will take place May 3-6, 2016 in Hillsboro, Oregon. The meeting will include the annual CMC Materials Seminar held on May 5-6 that is open to the public. Sessions include a market briefing, supply chain issues and methods, the evolution of emerging materials in ALD / ALE, and the materials revolution around carbon. Speakers will be drawn from fabs, suppliers and analysts to address topics of concern and interest to the Council, and the semiconductor materials supply chain.

CMC Fabs is a unit of TECHCET CA LLC, a firm focused on Process Materials Supply Chains, Electronic Materials Technology, Materials Market Research and Consulting for the Semiconductor, Display, Solar/PV, and LED Industries. The company has been responsible for producing the SEMATECH Critical Material Reports since 2000.

By Denny McGuirk, SEMI president and CEO

“In like a lion, out like a lamb” is just half the story for 2015.  While initial expectations forecasted a double-digit growth year, the world economy faded and dragged our industry down to nearly flat 2015/2014 results.

However, 2015 will be remembered for a wild ride that fundamentally changed the industry.  In 2015 a wave of M&A activity swept across the industry supply chain — unlike any single year before — with scores of transactions and notable multi-billion dollar companies being absorbed.  In 2016, we all will be working within a newly reconfigured supply chain.

Increasingly, in this business landscape, collaboration is required simultaneously across the extended supply chain — customers’ customers’ customers are now routinely part of the discussion in even unit process development.  Facilitating interaction and collaboration across the extended supply chain is part of what SEMI does and I’ll be updating you in next week’s letter on how, but first, let’s review what’s happened and what’s happening.

2015 Down 1%: “In Like a Lion, Out Like a Lamb”

2015 had an optimistic start with a strong outlook and good pace in Q1 and 1H.  In January 2015 forecasters projected semiconductor equipment and materials growing in a range of 7 percent to nearly 14 percent vs. 2014.  Global GDP, as late as May 2015, was pegged at 3.5 percent for 2015 after coming in at only 3.4 percent in 2014.  In August, estimates dropped to 3.3 percent, in November estimates dropped further to 3.1 percent for the year.

As our industry has matured, semiconductor equipment and materials growth rates are ever more tightly correlated to shifts in global GDP.  With global GDP unexpectedly dropping, the second half saw declining book-to-bill activity and the year will likely end flat or slightly negative for 2015.  Though nearly flat, the numbers are still impressive with a healthy $37.3 billion annual revenue for semiconductor manufacturing equipment and $43.6 billion for semiconductor materials.

An important change is since the 2009 financial crisis, electronics, chips, and semiconductor equipment and materials markets have been much more stable year-to-year than in the years prior to 2009.  Also, the movement of the three segments is much more synchronized compared to the earlier years of boom and bust. For SEMI’s members this means cycles are becoming more muted — enabling members to shift business models accordingly to better maintain prosperity.

Fab-Equipmt-600w Capital-Equip-600w

 

2015’s $125+ Billion M&A:  Inflection Point for Silicon Valley Icons and Global Titans

2015 is a year that will be viewed as an inflection point in our industry.  The unprecedented M&A volume (more than $125 billion for semiconductor related companies) and the size of individual deals through the electronics supply chain will forever  change the industry.

historic-proportions

While there have been waves of consolidation for semiconductor Integrated Device Manufacturers (IDMs) in the 1980s and 1990s, and semiconductor equipment and materials in the 1990s and 2000s, the fabless semiconductor companies are the latest wave undergoing consolidation.  Although, in 2015, not just fabless, but all segments saw major deals — even iconic chemical brands DuPont and Dow Chemical announced their intention to merge.

Large and familiar brands like Broadcom (Avago), SanDisk (Western Digital), Altera (Intel), Freescale (NXP), and KLA-Tencor (Lam Research) have been merged and will continue forward as part of their acquirers.  China is on the move with its ambitions to quickly grow its indigenous semiconductor supply chain with recent acquisitions of ISSI, OmniVision, NXP RF power unit, and notably Mattson in the semiconductor equipment segment.

In an age when new fab costs are pushing double-digit billions of dollars and leading-edge device tapeouts are surpassing $300 million per part, consolidation is a strategy to increase scale, leverage R&D, and compete better.  For SEMI’s members, the winner-take-all stakes increase and raise expectations for technology, product performance, application development, speed, and support.  This, in turn, means that SEMI members have an increased need for a newly drawn pre-competitive collaboration model along the extended electronics supply chain and for Special Interest Groups (SIGs) to drive collective action in focused sub-segments and for specific issues.

Collaboration-is-critical-6

Source: SEMI (www.semi.org), 2015

2016 Up ~1%: Stay Close to your Customer and your Customer’s Customer and …

Current projections for semiconductor equipment and materials suggest that 2016 will not be a high growth year.  The span of forecasts ranges from almost -10 percent to +5 percent.  At SEMI’s Industry Strategy Symposium (ISS), 10-13 January, we will be taking a deep-dive into the 2016 forecast and on the business drivers and will have a much better picture of the consensus outlook.

However, it is already quite clear that following this enormous wave of consolidation, the industry will look different and will offer new and different opportunities.  Listening to SEMI’s members, I’ve heard that during this period of upheaval it’s absolutely critical to stay close to one’s customers – but more than that – to have access and ongoing direct dialogue with the customer’s customer … and customers’ customers’ customers.

In light of the cost of research and development, the magnitude of risks, and the speed of new consumer electronics adoption, SEMI members find that they need to intimately know emerging requirements two to three steps away in the supply chain, and may require rapid and innovative development from their own sub-suppliers to meet product delivery in time.  In parallel, we see system integrators (electronics providers) staffing up with semiconductor processing engineers and equipment expertise, both for differentiation of their own products and for potential strategic vertical manufacturing.

2016 will mark an acceleration of collaboration and interdependence across the extended supply chain.  Next week, I’ll provide an update letter on SEMI’s related activities with an overview of what SEMI is doing to meet the realities of a reshaped industry.  SEMI’s role is evolving, and more important now than ever, in helping the industry achieve together, what it cannot accomplish alone.

SEMI-Infographic--Achieving

Learn more about SEMI membership and upcoming events.

In the nanoworld, tiny particles of gold can operate like snow blowers, churning through surface layers of an important class of semiconductors to dig unerringly straight paths. The surprising trenching capability, reported by scientists from the National Institute of Standards and Technology (NIST) and IBM, is an important addition to the toolkit of nature-supplied ‘self-assembly’ methods that researchers aim to harness for making useful devices.

Foreseeable applications include integrating lasers, sensors, wave guides and other optical components into so-called lab-on-a-chip devices now used for disease diagnosis, screening experimental materials and drugs, DNA forensics and more. Easy to control, the new gold-catalyzed process for creating patterns of channels with nanoscale dimensions could help to spawn entirely new technologies fashioned from ensembles of ultra-small structures.

Preliminary research results that began as lemons — a contaminant-caused failure that impeded the expected formation of nanowires — eventually turned into lemonade when scanning electron microscope images revealed long, straight channels.

“We were disappointed, at first,” says NIST research chemist Babak Nikoobakht. “Then we figured out that water was the contaminant in the process — a problem that turned out to be a good thing.”

That’s because, as determined in subsequent experiments, the addition of water vapor served to transform gold nanoparticles into channel diggers, rather than the expected wire makers. Beginning with studies on the semiconductor indium phosphide, the team teased out the chemical mechanisms and necessary conditions underpinning the surface-etching process.

First, they patterned the surface of the semiconductor by selectively coating it with a gold layer only a few nanometers thick. Upon heating, the film breaks up into tiny particles that become droplets. The underlying indium phosphide dissolves into the gold nanoparticles above, creating a gold alloy. Then, heated water vapor is introduced into the system. At temperatures below 300 degrees Celsius (572 degrees Fahrenheit), the tiny gold-alloy particles, now swathed with water molecules, etch nanoscale pits into the indium phosphide.

But at 440 degrees Celsius (824 degrees Fahrenheit) and above, long V-shaped nanochannels formed. The channels followed straight paths dictated by the regularly repeating lattice of atoms in the crystalline semiconductor. During the process, indium and phosphorous atoms interact with oxygen atoms in the water molecules on the surface of the gold alloy droplet. The oxidized indium and phosphorous evaporate, and the droplet advances, picking up more semiconductor atoms to oxidize as it goes.

The result is a series of crystalline groves. The dimensions of the grooves correspond to the size of droplet, which can be controlled.

In effect, the droplet is the chemical equivalent of the auger on a snow blower that, instead of snow, burrows through the top portion of the semiconductor and ejects evaporated bits, Nikoobakht explains.

The team observed the same phenomena in gallium phosphide and indium arsenide, two more examples of semiconductors formed by combining elements from the third and fifth columns of the periodic table. Compound semiconductors in this class are used to make LEDs, and for communications, high-speed electronics and many other applications. Nikoobakht believes that, with adjustments, the etching process might also work for creating patterns of channels on silicon and other materials.

Controllable, fast and flexible, the “bottom up” channel-fabrication process shows promise for use on industrial scales, the researchers suggest. In their article, the teams describe how they used the process to etch patterns of hollow channels like those used to direct the flow of liquids, such as a blood sample, in a microfluidic device, or lab on a chip.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $28.9 billion for the month of November 2015, 0.3 percent lower than the previous month’s total of $29.0 billion and 3.0 percent down from the November 2014 total of $29.8 billion. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Softening demand and lingering macroeconomic challenges continued to limit global semiconductor sales in November,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Despite these headwinds, the industry may narrowly surpass total annual sales from 2014 and is projected to post modest sales increases in 2016 and beyond.”

Regionally, month-to-month sales increased in China (1.0 percent), Europe (1.0 percent), and the Americas (0.3 percent), but decreased in Japan (-0.6 percent), and Asia Pacific/All Other (-2.4 percent). Compared to November 2014, sales were up in China (5.3 percent), but down in Asia Pacific/All Other (-4.1 percent), the Americas (-7.1 percent), Europe (-8.0 percent), and Japan (-8.6 percent).

November 2015

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

6.05

6.07

0.3%

Europe

2.91

2.93

1.0%

Japan

2.70

2.68

-0.6%

China

8.59

8.68

1.0%

Asia Pacific/All Other

8.73

8.52

-2.4%

Total

28.97

28.88

-0.3%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

6.53

6.07

-7.1%

Europe

3.19

2.93

-8.0%

Japan

2.93

2.68

-8.6%

China

8.24

8.68

5.3%

Asia Pacific/All Other

8.88

8.52

-4.1%

Total

29.77

28.88

-3.0%

Three-Month-Moving Average Sales

Market

Jun/Jul/Aug

Sept/Oct/Nov

% Change

Americas

5.60

6.07

8.3%

Europe

2.81

2.93

4.5%

Japan

2.67

2.68

0.3%

China

8.23

8.68

5.4%

Asia Pacific/All Other

8.57

8.52

-0.6%

Total

27.88

28.88

3.6%

An international team of researchers including Professor Federico Rosei and members of his group at INRS has developed a new strategy for fabricating atomically controlled carbon nanostructures used in molecular carbon-based electronics. An article just published in the prestigious journal Nature Communications presents their findings: the complete electronic structure of a conjugated organic polymer, and the influence of the substrate on its electronic properties.

The researchers combined two procedures previously developed in Professor Rosei’s lab–molecular self-assembly and chain polymerization–to produce a network of long-range poly(para-phenylene) (PPP) nanowires on a copper (Cu) surface. Using advanced technologies such as scanning tunneling microscopy and photoelectron spectroscopy as well as theoretical models, they were able to describe the morphology and electronic structure of these nanostructures.

“We provide a complete description of the band structure and also highlight the strong interaction between the polymer and the substrate, which explains both the decreased bandgap and the metallic nature of the new chains. Even with this hybridization, the PPP bands display a quasi one-dimensional dispersion in conductive polymeric nanowires,” said Professor Federico Rosei, one of the authors of the study.

Although further research is needed to fully describe the electronic properties of these nanostructures, the polymer’s dispersion provides a spectroscopic record of the polymerization process of certain types of molecules on gold, silver, copper, and other surfaces. It’s a promising approach for similar semiconductor studies–an essential step in the development of actual devices.

The results of the study could be used in designing organic nanostructures, with significant potential applications in nanoelectronics, including photovoltaic devices, field-effect transistors, light-emitting diodes, and sensors.

The SEMI Foundation today announced that Belle W. Y. Wei, Ph.D., was elected as a new director to the SEMI Foundation Board of Trustees in accordance with the association’s by-laws. Her appointment to the Board is immediately effective.

The SEMI Foundation is known for its flagship program, SEMI High Tech U, which serves high school students interested in pursuing careers in science, technology, engineering and math (STEM).  Since 2001, the Foundation has delivered 190 programs to over 6,000 students and teachers worldwide. Companies and organizations join the SEMI Foundation’s existing High Tech U program, by generously offering financial support, in-kind donations and volunteering at High Tech U events.

Belle Wei has held a range of leadership positions at California State University, Chico, and San Jose State University’s College of Engineering. Wei has promoted student success and bolstered STEM education, expanded educational access for historically underrepresented groups, and helped improve students’ timely graduation. She also led the Engineering Pathway Initiative that brought the Project Lead the Way engineering curricula to middle and high schools in the San Francisco/Silicon Valley region to prepare young students for colleges and careers in STEM fields. Wei presented before U.S. Congress in 2006 and contributed to the America COMPETES Act of 2007. In 2012, she participated in the “10,000 Engineers Initiative” of the President’s Council on Jobs and Competitiveness. Wei has a Ph.D. in Electrical Engineering and Computer Sciences from the University of California, Berkeley and Master of Science degree in Engineering from Harvard University. Her undergraduate degree is in Biophysics from the University of California, Berkeley.

“We are inspired by Belle’s deep engagement in higher education and her drive to help students pursue STEM careers,” said Denny McGuirk, president and CEO of SEMI. “We welcome her to the Board and look forward to utilizing her knowledge and experience as we expand the SEMI Foundation’s contributions to STEM education and workforce development.”

“I’m impressed with the SEMI Foundation’s High Tech U program, which helps students understand the connection of STEM skills to future career opportunities in high tech. At a more strategic level, the Foundation’s track record of engaging industry sponsors is remarkable,” said Belle Wei. “I’m looking forward to working with the Board and helping to develop new opportunities for the SEMI Foundation to strengthen its role in STEM education and career exploration for young people.”

Other members of the SEMI Foundation Board are: Denny McGuirk, chairman of the SEMI Foundation and president and CEO of SEMI; Richard Salsman, CFO and treasurer of the SEMI Foundation and CFO and executive vice president of Global Alliances at SEMI; Leslie Tugman, secretary of the SEMI Foundation and executive director of the SEMI Foundation; Arthur Zafiropoulo, chairman and CEO of Ultratech; Yong Han Lee, chairman of Wonik; Stanley Myers, president of ST Myers and Associates; Dana Ditmore, Oak Valley Consulting; and John Biera, principal of Central High School in Phoenix, Ariz.

The SEMI Foundation’s Board of Trustees represent companies from Asia and the United States, reflecting the global scope of the association’s activities. For more information about the SEMI Foundation, visit www.semi.org/en/About/SEMIFoundation.

By Shannon Davis, Web Editor 

2015 was a year of unprecedented consolidation in the semiconductor industry, as well as a technological crossroads in Moore’s Law. Below is a round-up, based on reader popularity, of the most read stories on Solid State Technology from 2015.

1) 2015 outlook: Tech trends and drivers

Leading industry experts provided their perspectives on what to expect in 2015. 3D devices and 3D integration, rising process complexity and “big data” are among the hot topics.

2) Reframing the Roadmap: ITRS 2.0

The International Technology Roadmap for Semiconductor (ITRS) is being reframed to focus more on end applications, such as smartphones and micro-servers. Labeled ITRS 2.0, the new roadmap is a departure from a strong focus maintaining the path defined by Moore’s Law.

3) Freescale and NXP agree to $40B merger

Chipmaker NXP Semiconductors NV announced that it has agreed to buy Freescale Semiconductor Ltd for $11.8 billion and merge business operations. The combined enterprise values at just over $40 billion and will create a new leader in the auto and industrial semiconductor markets.

4) Samsung’s FinFETs are in the Galaxy S6!

The much-anticipated Samsung Galaxy S6 made an early appearance in Chipworks’ teardown labs last week, thanks to the diligent skills of their trusted logistics guru.

5) Moore’s Law to keep on 28nm

Scaling is now bifurcating – some scaling on with 28/22nm, while other push below 14nm.

6) More change for the chip industry

As if scaling to 7nm geometries and going vertical with FinFETs, TSVs and other emerging technologies wasn’t challenge enough, the emerging market for connected smart devices will bring more changes to the semiconductor sector. And then there’s 3D printing looming in the wings.

7) EUV: Unlike anything else in the fab

Imagine EUV lithography in high volume production. ASML has been working for years to make it happen. Earlier this year, ASML said that one of its major chip-manufacturing customers has placed an order for 15 EUV systems, including two that are set to be delivered before the end of this year. ASML did not name the customer, but it is almost certainly Intel (according to research firm IHS).

8) Apple Watch and ASE start new era in SiP

Back in April the Apple watch appeared in the Chipworks’ labs, and of course they pulled it apart to see its contents.

9) New AMS fab going to Marcy, NY

Austria-based ams AG, formerly known as Austriamicrosystem, announced plans to locate a new 360,000 ft2 fab in upstate New York at the Nano Utica site in Marcy, NY. The fab will be used to manufacture analog devices on 200/300mm wafers.

10) Historic era of consolidation for chipmakers

We are in a historic era for consolidation among semiconductor manufacturers. Solid State Technology compiled the latest consolidation news, as well as analysis on the implications for the industry.

11) Lithography alternatives: Why are they essential?

The availability of patterning alternatives in the lithography landscape represents a big opportunity to properly address the coming needs generated by the IoT.

12) A look ahead at IEDM 2015

In the second week of December, the good and the great of the electron device world made their usual pilgrimage to Washington D.C. for the 2015 IEEE International Electron Devices Meeting.

Bonus: Top Webcasts of 2015 – Available On Demand Now!  

How the IoT is Driving Semiconductor Technology

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the Internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will be rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology. 

Sensor Fusion and the Role of MEMS in the IoT

MEMS have quite different process and material requirements compared to mainstream microprocessor and memory types of devices. This webcast will explore the latest trends in MEMS devices – including sensor fusion and the important role that MEMS will play in the Internet of Things (IoT). Marcellino Gemelli, Head of Business Development at Bosch Sensortec will discuss how smart systems are enabled through sensor fusion. Karen Lightman, Executive Director of MEMS Industry Group (MIG), provided a “debrief” from the recent MEMS Technical Congress and a preview of a SEMICON West workshop focused on back-end challenges.

3D NAND Challenges and Opportunities

Flash memory has revolutionized the world of solid-state data storage, mainly because of the advent of NAND technology. However, from the technical point of view, this requires a major change in how these memories are being fabricated. This presentation discusses this (r)evolution as well as its major scaling limitations.

Resolve to stay up-to-date on industry news in 2016! Here’s how.

SEMI projects that worldwide sales of new semiconductor manufacturing equipment will decrease 0.6 percent to $37.3 billion in 2015, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition.  In 2016, nominal positive growth is expected, resulting in a global market increase of 1.4 percent.

The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 0.7 percent in 2015 to total $29.5 billion. The “Other Front End” category (fab facilities, mask/reticle, and wafer manufacturing equipment) is expected to increase 20.6 percent in 2015. The forecast predicts that the market for assembly and packaging equipment will decrease by 16.4 percent to $2.6 billion in 2015 and that the market for semiconductor test equipment is forecast to decrease by 7.4 percent, totaling $3.3 billion this year.

For 2015, Taiwan, South Korea, North America, remain the largest spending regions, with investments in Japan approaching North American levels.  SEMI forecasts that in 2016, equipment sales in Europe will climb to $3.4 billion (63.1 percent increase over 2015). After a 13 percent contraction for Europe in 2015, GLOBALFOUNDRIES, Infineon, Intel, and STMicroelectronics are all expected to significantly accelerate fab equipment spending in 2016, resulting in strong growth in the region in 2016.  In Rest of World, essentially Southeast Asia, sales will reach $2.5 billion (25.7 percent increase), the China market will total $5.3 billion (9.1 percent increase), and North America equipment spending will reach $5.9 billion (6.1 percent increase). The equipment markets in Japan, Korea, and Taiwan are expected to contract in 2016.

The following results are given in terms of market size in billions of U.S. dollars:

Year_End_image_600px

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Book-to-Bill Report, which offers an early perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments; and the SEMI Year-end Forecast, which provides an outlook for the semiconductor equipment market.

A team of engineers from Cornell University, the University of Notre Dame and the semiconductor company IQE has created gallium nitride (GaN) power diodes capable of serving as the building blocks for future GaN power switches — with applications spanning nearly all electronics products and electricity distribution infrastructures.

Power semiconductor devices are a critical part of the energy infrastructure — all electronics rely on them to control or convert electrical energy. Silicon-based semiconductors are rapidly approaching their performance limits within electronics, so materials such as GaN are being explored as potential replacements that may render silicon switches obsolete.

But along with having many desirable features as a material, GaN is notorious for its defects and reliability issues. So the team zeroed in on devices based on GaN with record-low defect concentrations to probe GaN’s ultimate performance limits for power electronics. They describe their results in a paper in the journal Applied Physics Letters, from AIP Publishing.

“Our engineering goal is to develop inexpensive, reliable, high-efficiency switches to condition electricity — from where it’s generated to where it’s consumed within electric power systems — to replace generations-old, bulky, and inefficient technologies,” said Zongyang Hu, a postdoc working in Professor Grace Huili Xing’s research group within the School of Electrical and Computer Engineering at Cornell University. “GaN-based power devices are enabling technologies to achieve this goal.”

The team examined semiconductor p-n junctions, made by joining p-type (free holes) and n-type (free electrons) semiconductor materials, which have direct applications in solar cells, light-emitting diodes (LEDs), rectifiers in circuits, and numerous variations in more complex devices such as power transistors. “For our work, high-voltage p-n junction diodes are used to probe the material properties of GaN,” Hu explained.

To describe how much the device’s current-voltage characteristics deviate from the ideal case in a defect-free semiconductor system, the team uses a “diode ideality factor.” This is “an extremely sensitive indicator of the bulk defects, interface and surface defects, and resistance of the device,” he added.

Defects exist within all materials, but at varying levels. “So one parameter we used to effectively describe the defect level in a material is the Shockley-Read-Hall (SRH) recombination lifetime,” Hu said.

SRH lifetime is the averaged time it takes injected electrons and holes in the junction to move around before recombining at defects. “The lower the defect level, the longer the SRH lifetime,” Hu explained. “It’s also interesting to note that for GaN, a longer SRH lifetime results in a brighter light emission produced by the diode.”

The work is significant because many researchers around the globe are working to find ways to make GaN materials reliable for use within future electronics. Due to the presence of defects with high concentrations in typical GaN materials today, GaN-based devices often operate at a fraction of what GaN is truly capable of.

It’s worth noting that, in 2014, a Nobel Prize in physics was awarded to three scientists for making seminal and breakthrough contributions to the field of GaN-based LEDs. Though operating at compromised conditions, GaN LEDs are helping to shift the global lighting industry to a much more energy-efficient, solid-state lighting era.

The work led by Xing at Cornell University is the first report of GaN p-n diodes with near-ideal performance in all aspects simultaneously: a unity ideality factor, avalanche breakdown voltage, and about a two-fold improvement in device figure-of-merits over previous records.

“Our results are an important step toward understanding the intrinsic properties and the true potential of GaN,” Hu noted. “And these achievements are only possible in high-quality GaN device structures (an effort led by IQE engineers) prepared on high-quality GaN bulk substrates and with precisely tuned fabrication technologies (an effort led by Dr. Kazuki Nomoto, a research associate at Cornell University).”

One big surprise for the team came in the form of unexpectedly low differential-on-resistance of the GaN diode. “It’s as if the body of the entire p-n diode is transparent to the current flow without resistance,” he said. “We believe this is due to high-level injection of minority carriers and their long lifetime, and are exploring it further.”

The team’s work is part of the U.S. Department of Energy’s (DOE) Advanced Research Projects Agency-Energy (ARPA-E) “SWITCHES” program, monitored by Dr. Timothy Heidel. “Leading one of these projects, we at Cornell, in collaboration with our industrial partners IQE, Qorvo, and UTRC, have established an integrated plan to develop three terminal GaN power transistors, package them, and insert them into circuits and products,” Xing said.

Beyond the DOE ARPA-E project, the team is open to collaboration with any researchers or companies interested in helping drive GaN power electronics to its fruition.

CEA-Leti, an applied-research institute for microelectronics, will demonstrate at CES 2016 three disruptive innovations, ranging from ultra-high-brightness, augmented-reality glasses to extremely high-speed wireless data transmission between mobile devices, and the world’s first TV white-space modem limiting interference in adjacent spectrum bands.

The three demonstrations at Eureka Park in the Sands hotel mark Leti’s first formal participation at CES, and reflect the institute’s growing focus on applied technologies for consumer market solutions.

The demonstrators include:

  • DiamonDisplay,the world’s brightest augmented-reality display with the first demonstrator of a high-density micro-LED array that is scalable to a standard microelectronic large-scale fabrication process. This micro-LED display provides brightness that is 100 to 1,000 times higher than current micro displays, enables very high definition, very sharp contrast in daylight and is ideal for compact, lighter products that consume less power.
  • G-Link, a low-power, wireless connection that enables ultra-high-speed transfer of gigabits of data between two devices a few centimeters apart. For consumers it provides a wireless connection between two mobile devices to share, for example, HD videos, between a movie camera and a video display, or between a kiosk and a tablet to download HD videos, etc. G-Link uses a very compact and low-cost package, integrating the entire system, including antennas.  The second generation will be available in 2016 and will provide increased data rate (5Gbps) at lower power consumption (50mW).
  • TV White Space modem, the world’s first wide-area, wireless technology based on the IEEE 1900.7 standardprovidinghigh-speed Internet service over long-distances from just one access point. Leti’s demonstration shows a new wireless-network solution that uses adjacent spectrum bands to provide broad coverage indoors and out: up to 64km range in open spaces. The technology can help reduce the digital divide by providing broadband access in underserved rural areas.

“Leti is well known in the industry as a strategic partner for companies that come to us to help them apply tomorrow’s microelectronic technologies in their products, ranging from consumer markets to biotech, security, transportation and the Internet of Things,” said Leti CEO Marie Semeria. “But we also encourage our teams to imagine how our expertise can enhance consumers’ quality of like. This focus on innovation is a pillar of Leti’s successful startup program, and these demonstrators provide a sample of the results.”

Three recent Leti startups also will demonstrate technologies at Eureka Park:

  • Aryballe Technologies will show the diversity of its biochemical sensors in a universal detector able to identify several thousand odors listed in olfactory-signature databases. Its first product is a portable odor-detection device, Neosmia, for people with smell disorders. Booth # 81234.
  • eLichens develops services and miniaturized sensors for consumers and professionals to detect, monitor and predict air quality. The sensors continuously measure the CO, CO2 or CH4 values in local ambient air. Booth # 81233.
  • The AirBoard is an Arduino-compatible, wireless, open-source computer for rapidly prototyping smart connected objects for the Internet of Things. Booth # 81232.

Leti has launched more than 50 startups over the years. These include Movea, the motion-sensing company that was acquired by InvenSense; iskn, a digitized sketching-tool provider, and BeSpoon, whose tracking chips use cell phones to locate within a few centimeters common items such as keys, even from hundreds of meters away.

BeSpoon and EnerBee, a Leti startup that specializes in motion-based energy harvesting, also will exhibit in Eureka Park.

Leti will be part of the French Tech delegation at CES and will be one of 34 participants in Minalogic’s first joint collective mission of the new region Auvergne Rhône-Alpes.

Leti’s team will be available to discuss the demonstrators and provide more information on the institute and its startup program at booth # 81333 in Eureka Park.