Category Archives: MEMS

Together with its partners STFC and Fraunhofer IIS, imec announced today that the European Commission has pledged to continue funding the Europractice IC services for another three years under the Seventh Framework Programme (FP7).

"The EU’s continued support of the Europractice IC services speaks to the value of our efforts to help get European ASIC (application specific integrated circuits)-based products to market quickly and cost effectively,” stated Carl Das, director of the Europractice IC service at imec. “This funding will enable us to continue to provide the best and most advanced solutions to European academia and research institutes, start-up companies and companies within small niche markets.”

Europractice IC service is internationally recognized as a leading service to universities and industry for design, development, prototyping and manufacturing of application specific integrated circuits (ASICs) on a cost-sharing basis. Today, about 500 universities, 150 research centers and more than 200 European companies have access to this service.

Europractice IC services offers dedicated training courses on design flows and methods in advanced technologies, and has negotiated low cost opportunities with the most popular industry-standard CAD vendors and foundries.  As such, academics and research centers have access to state-of-the-art CAD tools for training and non-commercial research, and to multi-project wafer (MPW) runs for prototyping and manufacturing.  Europractice IC services also supports companies in the assembly and testing phase. Over the next three years, the service will expand its offering from ASIC services to prototyping possibilities in MEMS and photonics-related technologies.

Micralyne, Inc., an independent MEMS developer-manufacturer specializing in high-value applications, today announced a collaboration with Adamant-Kogyo Co., Ltd., a leading supplier of fine precision products for the optical communications industry, for the development and manufacture of micro-electro-mechanical systems (MEMS)-based fiber-optic subsystems. The companies’ joint solutions will serve markets for which a high-performance, ultra-reliable sensor-enabled communications backbone is essential.

Building upon a decade-long partnership in the global MEMS industry, Micralyne and Adamant will leverage their respective core competencies to develop new MEMS-based optical subsystems.

“Micralyne’s strength in optical MEMS component integration in performance-intensive industrial applications complements the subsystem design and packaging expertise of our longstanding partner, Adamant,” said Mike Ciprick, acting president and CEO, Micralyne. “Through our new alliance with Adamant, we aim to lead the industry in quality and reliability for the wide range of applications that benefit from MEMS-based fiber-optic sensors.”

“The global market for fiber-optic point sensors and related subsystems is growing exponentially,” said Yoichi Shimoda, president, Adamant. “In fact, the market research firm, ElectroniCast, has forecast a compound annual growth rate of more than 20 percent between 2012-2017, reaching US$3.98 billion by 2017. With demand for high-performance MEMS sensors especially strong—and with Micralyne so proficient there—we will work in partnership to map out the best possible solutions for this market.”

CEA-Leti today announced that a group of European and Japanese companies, research institutes, universities and cities will work together in the ClouT project to deliver ways for cities to leverage the Internet of Things (IoT) and cloud computing – to become smart cities.

ClouT, which stands for “cloud of things,” will develop infrastructure, services, tools and applications for municipalities and their various stakeholders – including citizens, service developers and application integrators – to create, deploy and manage user-centric applications that capitalize on the latest advances in IoT and cloud computing.

The IoT allows users to connect “everything” (sensors, objects, actuators, mobile phones, servers, etc.) and gather and share information in real-time from the physical environment. Cloud computing lets users process, store and access information with virtually unlimited processing and storage capacity. ClouT will integrate the latest advances in these domains and, with its user-centric approach, allow end users in cities to create their own cloud services and share them with other citizens.

Target applications include enhanced public transportation, increased citizen participation through the use of mobile devices to photograph and record situations of interest to city administrators, safety management, city-event monitoring and emergency management. The project, which is coordinated in Europe by Leti, includes nine industrial and research partners and four cities: Santander, Spain; Genoa, Italy; Fujisawa, Japan and Mitaka, Japan. The applications will be validated in those cities via field trials with citizens.

By combining EU and Japanese resources, the three-year, nearly 4 million-euro project is designed to create an on-going synergy for smart-city initiatives between Europe and Japan.

ClouT is jointly funded by the 7th Framework Programme of the European Commission and by the National Institute of Information and Communications Technology (NICT) of Japan.

 

DAS Environmental Expert GmbH of Dresden, Germany, has developed SALIX, a point-of-use system for removing waste gas pollutants in semiconductor wafer manufacturing wet bench applications. This solves a common problem where gases from the solvents, acids and alkaline materials used in wet processing combine to form a powder in the exhaust line. This powder can be a “toxic bomb” according to Dr. Horst Reichardt, CEO and president of DAS, or at least cause throughput and cost issues since the exhaust may have to be cleaned every one to two days.

The single-wafer cleaning process widely used for cleaning 300-millimeter wafers in wet benches distributes cleaning agents onto rapidly spinning single wafers and spins them off at the edge where baffle plates within the system collect the water, acidic and alkaline chemicals, and volatile solvents (the process for cleaning 200-millimeter wafers immerses the entire cassette). A large fab may have 20-30 such wet benches. With up to 12 stations per wet bench and exhaust from each chamber requiring several exhaust systems, the SALIX scrubber eliminates the need for elaborate change-over modules to avoid deposition in the pipes. It is more cost-effective and efficient at preventing clogging than conventional approaches used to separate and extract the acids, alkalines and solvents in the exhausts which require separate suction to prevent particle buildup and condensation within the pipes.

In contrast, SALIX removes the harmful substances from the gas stream directly at their point of origin using a two-stage scrubber process of chemical and physical absorption, and can treat up to 3600 m3/h of raw gas. Separate inlets feed the harmful gases from the wet bench process chambers into a SALIX pre-scrubber that pre-cleans the gas using spray nozzles. Next the waste gases pass into the first scrubber stage and then a second stage that uses a different scrubbing liquid. The remaining clean gas then can be released safely into the air without any danger to the technology or the environment. Because the SALIX system does not require any air dilution, the clean air remains in the clean room, further reducing cost.

Dr. Guy Davies, director of the Waste Gas Abatement business unit at DAS Environmental Expert explained, “When a global foundry based in Taiwan came to us seeking a better solution to treat water-soluble exhaust gases from a wet bench application, we installed SALIX as a first-of-its-kind point-of-use system. It has been running there since January of this year and, after six months of operation, emissions measurements show zero harmful substances in the exhaust. One SALIX system per wet bench is all that’s needed, and just one pipe for the cleaned exhaust. Salix “offers a smaller footprint with no switching boxes needed, and is far more cost-effective and efficient than central scrubbers for treating processes that create water-soluble waste gases. We believe our proven SALIX solution, which is SEMI S2 international and German TA-Luft standards compliant, opens new markets for point-of-use scrubbers in the semiconductor, LED, PV and FPD industries. In fact, we have seen increasing interest in SALIX and already have received multiple inquiries from U.S. customers. In addition, we are using the evaluation results for further process-based optimization and have developed a custom fit bypass function that will enable production to continue with no interruption.

DAS also announced it has added Technica, U.S.A. as a new local service partner to deliver faster response time for service and maintenance for U.S. customers.

Executives from the world’s leading microelectronics companies will meet with delegates representing Vietnamese government, academia, research, and industry to explore and discuss the key strategies and opportunities in the growing Vietnam semiconductor industry at the SEMI Vietnam Semiconductor Strategy Summit. The Summit will be held on September 9-10 at the InterContinental Asiana Hotel in Ho Chi Minh City. The event includes an optional tour of the Saigon Hi-Tech Park where Intel’s assembly and packaging plant is located, followed by a one-day executive conference and networking event.

Following Intel’s successful $1 billion in manufacturing investment in Ho Chi Minh City, and the government’s recent decision to invest in a 200mm/0.18 micron front-end fab, Vietnam will become an alternative design and production location for electronics and semiconductor manufacturers in Southeast Asia.  The executive event will bring together the key decision-makers shaping the future of the industry in Vietnam and help forge the connections and relationships that will drive further growth over the next decade and beyond.  A featured presentation will provide perspectives on infrastructure and technology requirements for the new 200mm fab presented by executives from M+W and FabMax.

While both the Vietnamese and Ho Chi Minh City governments have made investments in both front-end and back-end semiconductor manufacturing a priority, advancing the semiconductor industry in Vietnam will also require development of the local supply chain, allocation of water and energy resources, a growing skilled workforce, partnerships with established microelectronic markets, and other infrastructure needs.

The SEMI Vietnam Semiconductor Strategy Summit is organized by SEMI and co-organized by the Saigon Hi-Tech Park (SHTP) and the Ho Chi Minh City Semiconductor Industry Association (HSIA). Participation in the SEMI Vietnam Semiconductor Strategy Summit is available exclusively through corporate sponsorship or by invitation.

Current sponsors include Kulicke & Soffa, Millice, KLA-Tencor, Disco, Advantest, and FabMax.  Several sponsorship categories are provided that offer different levels exposure and benefits.  For additional information on corporate sponsorships and to inquire about an invitation, please contact [email protected] or visit www.semi.org/vietnam

With most of the top brands introducing new flagship models in the first half of 2013, smartphone buyers now have more choices than ever, a phenomenon that will contribute to an expected doubling in market shipments from 2012 to 2017.

Worldwide smartphone shipments will reach 1.5 billion units in 2017, up from 712 million in 2012, according to a new Mobile & Wireless Communications Report from information and analytics provider IHS Inc. Shipments this year are set to rise to 897 million units, up from 712 million in 2012, as shown in this figure.

In the years that follow, shipments of smartphones will expand at a compound annual growth rate (CAGR) of 15.8 percent, reaching 1.1 billion units in 2014, followed by 1.2 billion in 2015, and 1.4 billion in 2016.

“The volume of new flagship smartphone releases from top original equipment manufacturers (OEM) this year has been astounding,” said Wayne Lam, senior analyst for consumer and communications at IHS. “These include the new BlackBerry Z10, the aluminum uni-body HTC One, and an update to the Samsung Galaxy S4 featuring a Full HD 5-inch active matrix organic light emitting diode (AMOLED) display.”

On the other hand, Apple’s iPhone franchise appears to be stalling as first-quarter shipments of 37.4 million fell below expectations. With the next iPhone model not expected until the second half of the year, there is a real possibility that the full-year 2013 sales volume of the iPhone may be essentially flat at around 150 million units, compared to 134 million units in 2012.

“The possible slowing growth of the iPhone and the rapid pace of competitive smartphones releases speak to the ferocious nature of the handset business, especially now as the market continues to pivot from a market dominated by lower-end handsets known as feature phones to one that is increasingly smartphone-centric,” Lam said.

Outshipped

The trend of deeper smartphone penetration continued in the fourth quarter of 2012 and the first quarter this year, as smartphones outshipped feature phones in the overall branded cellphone market.

After a seasonally high fourth quarter, which saw total mobile handset shipments topping 400 million units for the first time, handset shipments in the first quarter of 2013 contracted by nearly 50 million units quarter-over-quarter, keeping with seasonal sales trends.

Samsung continued its strong growth in the first quarter with a sequential increase of 9 million units, while  brands such as Coolpad and Gionee outshipping the likes of HTC and Motorola in the first quarter.

Chinese smartphone OEMs were able to accomplish such growth on the back of a catalog of largely affordable smartphones, while Samsung rolled out a number of low-cost variants to its high-end flagship products.

These competitive forces, as well as changing consumer demand, will place pressures not only on Apple but also on other OEMs, IHS believes, forcing players to innovate and diversify smartphone offerings in order to continue growing.

Just innovate

Innovation in smartphone design is becoming a necessity for OEMs as consumers demand more immersive user experiences and visual content. Many smartphones, for instance, are moving to 5-inch or larger full HD displays to accommodate consumer desire for these experiences.

In parallel with an expanded display, the overall footprint of smartphones is likewise increasing because of larger batteries, which will then allow for more powerful processors, associated memory and sensors.

But these expanded features come at a cost to OEMs, driving up the dollar content of electronics and pushing the bill- of-materials (BOM) cost for the devices. Still, as variations in smartphone designs increase, opportunities to win design slots multiply as well for component suppliers—developments that bode well for the overall smartphone supply chain.

The latest trends, challenges and business opportunities in advanced materials for semiconductors, MEMs, power devices, storage, and other electronic devices will be addressed at the 2013 SEMI Strategic Materials Conference (SMC), to be held on October 16-17 at the Santa Clara Marriott in Silicon Valley, California. Electronic device manufacturers, materials suppliers, market analysts, and other industry experts will speak and participate in the only executive conference in the world dedicated to advanced electronic materials.  Last year’s conference sold out and attendees are encouraged to register early to ensure participation.

Organized by the Chemical and Gas Manufacturers Group (CGMG), a SEMI Special Interest Group comprised of leading manufacturers, producers, packagers and distributors of chemicals and gases used in the microelectronics industry, SMC offers presentations from leading market analysts, device manufacturers, industry consortiums, top suppliers and academic researchers, in combination with an innovative interactive format designed to facilitate business contacts and networking. SMC also provides valuable forecasting information, helps accelerate advanced materials markets, and serves as a forum for collaboration among all sectors of the advanced materials supply chain. Over 94 percent of the attendees at last year’s conference said SMC provided information useful to their jobs and provided valuable business contacts.

Featured topics for the two-day conference will include:

  • New materials and processes for next generation memory
  • Material metrology and characterization challenges at leading-edge nodes
  • Graphene and other carbon-based materials for semiconductor, storage, and industrial applications
  • Materials challenges for MEMS devices
  • Wafer processing and packaging materials outlook
  • The coming revolution in wide bandgap semiconductors
  • Latest advances in printed, large area and flexible electronics

The Strategic Materials Conference has provided valuable information and networking opportunities to materials and electronics industry professionals since 1995. Held this year at the Santa Clara Marriott in the heart of Silicon Valley, the event provides critical forecasting, emerging market, and materials trends for the microelectronics industry.

For more information and to register, visit www.semi.org/smc

 

CEA-Leti said today that it and three partners in the SOCRATE project are developing innovative concepts to significantly improve the directivity of electrically small antennas.

These antennas are generally limited to omnidirectional radiation, which is suitable for many wireless applications for communicating objects, such as UHF RFID, wireless telemetry and home automation. Nonetheless, the increase in small antennas’ directivity could create new wireless applications with improved spectral efficiency, reduced environmental electromagnetic impact and usage features that increase functionality.

The SOCRATE Project is based on an analysis of the fundamental limits of radiation properties of compact antennas. It brings a new vision to the concepts of super-directional antennas with the contribution of new technologies.

In addition to Leti, the project includes IETR, a French umbrella organization for researchers in the electronics and telecommunications sectors. Both have proven expertise in miniature antennas and electromagnetic wave propagation. It also includes two industrial partners that are developing innovative applications requiring compact antenna directivity with UHF frequencies: Movea, leading provider of data-fusion and motion-processing technologies for consumer electronics, and TAGSYS, the leading provider of RFID-based item-level inventory management systems.

The three-year project, initiated by CEA, will build two demonstrators to illustrate how super-directivity of miniature antennas could lead to the development of new applications in object designation and tracking. Movea’s interests in the project include object designation with a universal wireless remote controller.

The partners also will explore how a high degree of miniaturization of the infrastructure can provide new mainstream applications, such as industrial and home automation, as well as wireless sensor networks.

SEMICON Taiwan is set to open in September amidst an improving global and regional outlook for 2013 and 2014 that sees Taiwan remaining the largest and strongest market for semiconductor manufacturing. SEMICON Taiwan 2013, to be held September 4-6 at the Taipei World Trade Center Nankang Exhibition Hall, will spotlight the latest developments in processes, equipment, materials, and emerging market opportunities in microelectronics manufacturing from more than 650 exhibiting companies and more than 110 speakers from the world’s leading technology companies and research organizations.

Bucking the global trend of contraction in semiconductor spending, Taiwan has continued to build its position as the leading market for semiconductor equipment through the first half of 2013. According to the Worldwide Semiconductor Market Statistics report published by SEMI and the Semiconductor Equipment Association of Japan (SEAJ), spending on semiconductor equipment in Taiwan in the first quarter of 2013 rose to US$ 2.8 billion, 31 percent above Q4 2012 and 60 percent higher than the first quarter of 2012. The latest SEMI Consensus Forecast projects the Taiwan equipment market will rise more than 9 percent in 2013 and another two percent in 2014 to reach $10.6 billion, maintaining Taiwan’s status as the world’s largest equipment market.

"While the global market is looking towards recovery in 2014, Taiwan is building its strength and growing now," said Terry Tsao, president of SEMI Taiwan. "New electronic products and technologies, including mobile devices and 3D printing, are creating entirely new opportunities for microelectronics and driving the need to push the limits of Moore’s Law to enable the next generation of innovations. The technologies, companies, and people that will get us there are the highlight of SEMICON Taiwan."

In addition to the company exhibits and product displays, SEMICON Taiwan 2013 will feature more than 50 hours of technical and business forums, including presentations from global and regional industry leaders ASE, GLOBALFOUNDRIES, IBM, Micron, STMicroelectronics, TSMC, and Qualcomm among others. Scheduled sessions include the SEMICON Taiwan Executive Summit, the IC Design Summit, Market Trends, Memory Executive Summit, CMP Forum, Lithography/Mask Symposium, Advanced Packaging Symposium, Green Manufacturing, and sessions on MEMS and LED manufacturing.

Complementing the technical and business programs at SEMICON Taiwan, the third SiP Global Summit, Taiwan’s leading conference focused on advanced packaging and test, will feature speakers and participation from leading companies including Amkor, SPIL, SPTS, Nanya, PCB, Unimicron, Teradyne, Qualcomm, Yole Développement, SUSS MicroTec, and Senju sharing their insights and solutions for accelerating volume 3D IC production. In addition to support from the SEMI Taiwan Packaging and Test Committee, the SiP Global Summit is also coordinated in conjunction with the Fraunhofer Institute, I-Shou University, and the Industrial Technology Research Institute (ITRI).

Coventor, Inc., a supplier of virtual fabrication solutions for semiconductor devices and micro-electromechanical systems (MEMS), shared the SEMulator3D 2013 software platform at SEMICON West 2013. Conventor says the SEMulator3D 2013 brings physical accuracy and predictive modeling capabilities to process development and integration. This milestone release expands the value of ‘virtual fabrication’ to the broader semiconductor ecosystem in order to reduce silicon learning cycles and the billions of dollars spent reaching manufacturing readiness.

SEMulator3D 2013 release comes at a particularly critical time for semiconductor companies grappling with the complexities of integrated 3D front-end-of-line (FEOL) manufacturing processes such as Tri-Gate and High-k/Metal Gate logic, as well as advanced 3D memory technologies. Fabless design teams also face tremendous challenges migrating their intellectual property (IP) into these new technologies. SEMulator3D 2013 responds to such evolving requirements with an advanced virtual fabrication platform that makes it possible for foundry and fabless development teams to effectively collaborate at the physical process level.

“With new silicon architectures ramping quickly, IBM is introducing new manufacturing technologies that will keep us on the cutting edge of chip-making for server microprocessors, systems-on-chips and specialty silicon for consumer applications,” said Gary Patton, vice president, IBM Semiconductor Research and Development Center. “Tools such as Coventor’s SEMulator3D Virtual Fabrication platform have allowed us to speed our end-to-end technology development in 22nm and beyond, enabling a faster time to market for our customers who depend on IBM innovation to create the latest servers, smart phones, GPS systems, routers and other devices.”

At the core of the new SEMulator3D 2013 platform is a physics-driven modeling paradigm for addressing physical process behavior that makes virtual fabrication more predictive and provides new opportunities for replacing actual silicon learning cycles with faster, less costly virtual cycles. In addition, virtual metrology innovations and the automation of virtual experiments enable process developers to perform virtual fabrication operations in hours or days instead of the months required for actual silicon learning cycles.

“Time and complexity challenges are the two constants in semiconductor design and manufacturing, and the growing trend toward 3D integrated technologies like FinFETS has introduced unprecedented levels of pain in both areas. SEMulator3D 2013 addresses the need for more efficient, automated approaches to process modeling, as well as the need for greater levels of collaboration by both ends of the development process. The net result is a dramatic reduction in the time and cost required to leverage the most advanced manufacturing techniques required to keep pace with Moore’s Law and fuel even more innovation across the electronics industry,” according to Dr. David Fried, chief technology officer at Coventor.

SEMulator3D 2013 features a new surface evolution engine and seamlessly combines the benefits of advanced physics-driven and high-speed behavioral (‘voxel’) predictive modeling in a single, easy-to-use platform. Voxel modeling is a fast, robust digital approach capable of scaling to the requirements of integrated processes and large silicon areas. Surface evolution is a more analog approach capable of modeling a wide range of physical process behavior.

Coventor’s unique deployment of surface evolution facilitates a major step forward in modeling reactive ion etching and selective epitaxial growth, a key technique for creating channel stress in advanced planar and FinFET technologies. With SEMulator3D 2013, users can model etching of multi-material stacks with multiple types of etch physics, such as redeposition (passivation), sputtering (physical etching), and etch bias (lateral or chemical etching). They can also model the growth rates of major silicon plane families to predict the faceted shapes and structural ramifications of selective epitaxial growth.

The SEMulator3D 2013 platform incorporates advanced technologies and tool enhancements that enable automatic process variation analysis with parallel modeling and virtual metrology to significantly increase user productivity. A new spreadsheet-driven Expeditor tool for batch processing enables massively parallel parameter studies. The addition of new virtual metrology steps into the virtual fabrication process provide for in-line, local measurement of critical dimensions, mimicking actual metrology operations. Tool upgrades include an enhanced Materials Editor for hierarchical grouping of materials, which greatly simplifies process deck development and maintenance.