Category Archives: Advanced Packaging

With most of the top brands introducing new flagship models in the first half of 2013, smartphone buyers now have more choices than ever, a phenomenon that will contribute to an expected doubling in market shipments from 2012 to 2017.

Worldwide smartphone shipments will reach 1.5 billion units in 2017, up from 712 million in 2012, according to a new Mobile & Wireless Communications Report from information and analytics provider IHS Inc. Shipments this year are set to rise to 897 million units, up from 712 million in 2012, as shown in this figure.

In the years that follow, shipments of smartphones will expand at a compound annual growth rate (CAGR) of 15.8 percent, reaching 1.1 billion units in 2014, followed by 1.2 billion in 2015, and 1.4 billion in 2016.

“The volume of new flagship smartphone releases from top original equipment manufacturers (OEM) this year has been astounding,” said Wayne Lam, senior analyst for consumer and communications at IHS. “These include the new BlackBerry Z10, the aluminum uni-body HTC One, and an update to the Samsung Galaxy S4 featuring a Full HD 5-inch active matrix organic light emitting diode (AMOLED) display.”

On the other hand, Apple’s iPhone franchise appears to be stalling as first-quarter shipments of 37.4 million fell below expectations. With the next iPhone model not expected until the second half of the year, there is a real possibility that the full-year 2013 sales volume of the iPhone may be essentially flat at around 150 million units, compared to 134 million units in 2012.

“The possible slowing growth of the iPhone and the rapid pace of competitive smartphones releases speak to the ferocious nature of the handset business, especially now as the market continues to pivot from a market dominated by lower-end handsets known as feature phones to one that is increasingly smartphone-centric,” Lam said.

Outshipped

The trend of deeper smartphone penetration continued in the fourth quarter of 2012 and the first quarter this year, as smartphones outshipped feature phones in the overall branded cellphone market.

After a seasonally high fourth quarter, which saw total mobile handset shipments topping 400 million units for the first time, handset shipments in the first quarter of 2013 contracted by nearly 50 million units quarter-over-quarter, keeping with seasonal sales trends.

Samsung continued its strong growth in the first quarter with a sequential increase of 9 million units, while  brands such as Coolpad and Gionee outshipping the likes of HTC and Motorola in the first quarter.

Chinese smartphone OEMs were able to accomplish such growth on the back of a catalog of largely affordable smartphones, while Samsung rolled out a number of low-cost variants to its high-end flagship products.

These competitive forces, as well as changing consumer demand, will place pressures not only on Apple but also on other OEMs, IHS believes, forcing players to innovate and diversify smartphone offerings in order to continue growing.

Just innovate

Innovation in smartphone design is becoming a necessity for OEMs as consumers demand more immersive user experiences and visual content. Many smartphones, for instance, are moving to 5-inch or larger full HD displays to accommodate consumer desire for these experiences.

In parallel with an expanded display, the overall footprint of smartphones is likewise increasing because of larger batteries, which will then allow for more powerful processors, associated memory and sensors.

But these expanded features come at a cost to OEMs, driving up the dollar content of electronics and pushing the bill- of-materials (BOM) cost for the devices. Still, as variations in smartphone designs increase, opportunities to win design slots multiply as well for component suppliers—developments that bode well for the overall smartphone supply chain.

The latest trends, challenges and business opportunities in advanced materials for semiconductors, MEMs, power devices, storage, and other electronic devices will be addressed at the 2013 SEMI Strategic Materials Conference (SMC), to be held on October 16-17 at the Santa Clara Marriott in Silicon Valley, California. Electronic device manufacturers, materials suppliers, market analysts, and other industry experts will speak and participate in the only executive conference in the world dedicated to advanced electronic materials.  Last year’s conference sold out and attendees are encouraged to register early to ensure participation.

Organized by the Chemical and Gas Manufacturers Group (CGMG), a SEMI Special Interest Group comprised of leading manufacturers, producers, packagers and distributors of chemicals and gases used in the microelectronics industry, SMC offers presentations from leading market analysts, device manufacturers, industry consortiums, top suppliers and academic researchers, in combination with an innovative interactive format designed to facilitate business contacts and networking. SMC also provides valuable forecasting information, helps accelerate advanced materials markets, and serves as a forum for collaboration among all sectors of the advanced materials supply chain. Over 94 percent of the attendees at last year’s conference said SMC provided information useful to their jobs and provided valuable business contacts.

Featured topics for the two-day conference will include:

  • New materials and processes for next generation memory
  • Material metrology and characterization challenges at leading-edge nodes
  • Graphene and other carbon-based materials for semiconductor, storage, and industrial applications
  • Materials challenges for MEMS devices
  • Wafer processing and packaging materials outlook
  • The coming revolution in wide bandgap semiconductors
  • Latest advances in printed, large area and flexible electronics

The Strategic Materials Conference has provided valuable information and networking opportunities to materials and electronics industry professionals since 1995. Held this year at the Santa Clara Marriott in the heart of Silicon Valley, the event provides critical forecasting, emerging market, and materials trends for the microelectronics industry.

For more information and to register, visit www.semi.org/smc

 

CEA-Leti said today that it and three partners in the SOCRATE project are developing innovative concepts to significantly improve the directivity of electrically small antennas.

These antennas are generally limited to omnidirectional radiation, which is suitable for many wireless applications for communicating objects, such as UHF RFID, wireless telemetry and home automation. Nonetheless, the increase in small antennas’ directivity could create new wireless applications with improved spectral efficiency, reduced environmental electromagnetic impact and usage features that increase functionality.

The SOCRATE Project is based on an analysis of the fundamental limits of radiation properties of compact antennas. It brings a new vision to the concepts of super-directional antennas with the contribution of new technologies.

In addition to Leti, the project includes IETR, a French umbrella organization for researchers in the electronics and telecommunications sectors. Both have proven expertise in miniature antennas and electromagnetic wave propagation. It also includes two industrial partners that are developing innovative applications requiring compact antenna directivity with UHF frequencies: Movea, leading provider of data-fusion and motion-processing technologies for consumer electronics, and TAGSYS, the leading provider of RFID-based item-level inventory management systems.

The three-year project, initiated by CEA, will build two demonstrators to illustrate how super-directivity of miniature antennas could lead to the development of new applications in object designation and tracking. Movea’s interests in the project include object designation with a universal wireless remote controller.

The partners also will explore how a high degree of miniaturization of the infrastructure can provide new mainstream applications, such as industrial and home automation, as well as wireless sensor networks.

SEMICON Taiwan is set to open in September amidst an improving global and regional outlook for 2013 and 2014 that sees Taiwan remaining the largest and strongest market for semiconductor manufacturing. SEMICON Taiwan 2013, to be held September 4-6 at the Taipei World Trade Center Nankang Exhibition Hall, will spotlight the latest developments in processes, equipment, materials, and emerging market opportunities in microelectronics manufacturing from more than 650 exhibiting companies and more than 110 speakers from the world’s leading technology companies and research organizations.

Bucking the global trend of contraction in semiconductor spending, Taiwan has continued to build its position as the leading market for semiconductor equipment through the first half of 2013. According to the Worldwide Semiconductor Market Statistics report published by SEMI and the Semiconductor Equipment Association of Japan (SEAJ), spending on semiconductor equipment in Taiwan in the first quarter of 2013 rose to US$ 2.8 billion, 31 percent above Q4 2012 and 60 percent higher than the first quarter of 2012. The latest SEMI Consensus Forecast projects the Taiwan equipment market will rise more than 9 percent in 2013 and another two percent in 2014 to reach $10.6 billion, maintaining Taiwan’s status as the world’s largest equipment market.

"While the global market is looking towards recovery in 2014, Taiwan is building its strength and growing now," said Terry Tsao, president of SEMI Taiwan. "New electronic products and technologies, including mobile devices and 3D printing, are creating entirely new opportunities for microelectronics and driving the need to push the limits of Moore’s Law to enable the next generation of innovations. The technologies, companies, and people that will get us there are the highlight of SEMICON Taiwan."

In addition to the company exhibits and product displays, SEMICON Taiwan 2013 will feature more than 50 hours of technical and business forums, including presentations from global and regional industry leaders ASE, GLOBALFOUNDRIES, IBM, Micron, STMicroelectronics, TSMC, and Qualcomm among others. Scheduled sessions include the SEMICON Taiwan Executive Summit, the IC Design Summit, Market Trends, Memory Executive Summit, CMP Forum, Lithography/Mask Symposium, Advanced Packaging Symposium, Green Manufacturing, and sessions on MEMS and LED manufacturing.

Complementing the technical and business programs at SEMICON Taiwan, the third SiP Global Summit, Taiwan’s leading conference focused on advanced packaging and test, will feature speakers and participation from leading companies including Amkor, SPIL, SPTS, Nanya, PCB, Unimicron, Teradyne, Qualcomm, Yole Développement, SUSS MicroTec, and Senju sharing their insights and solutions for accelerating volume 3D IC production. In addition to support from the SEMI Taiwan Packaging and Test Committee, the SiP Global Summit is also coordinated in conjunction with the Fraunhofer Institute, I-Shou University, and the Industrial Technology Research Institute (ITRI).

Coventor, Inc., a supplier of virtual fabrication solutions for semiconductor devices and micro-electromechanical systems (MEMS), shared the SEMulator3D 2013 software platform at SEMICON West 2013. Conventor says the SEMulator3D 2013 brings physical accuracy and predictive modeling capabilities to process development and integration. This milestone release expands the value of ‘virtual fabrication’ to the broader semiconductor ecosystem in order to reduce silicon learning cycles and the billions of dollars spent reaching manufacturing readiness.

SEMulator3D 2013 release comes at a particularly critical time for semiconductor companies grappling with the complexities of integrated 3D front-end-of-line (FEOL) manufacturing processes such as Tri-Gate and High-k/Metal Gate logic, as well as advanced 3D memory technologies. Fabless design teams also face tremendous challenges migrating their intellectual property (IP) into these new technologies. SEMulator3D 2013 responds to such evolving requirements with an advanced virtual fabrication platform that makes it possible for foundry and fabless development teams to effectively collaborate at the physical process level.

“With new silicon architectures ramping quickly, IBM is introducing new manufacturing technologies that will keep us on the cutting edge of chip-making for server microprocessors, systems-on-chips and specialty silicon for consumer applications,” said Gary Patton, vice president, IBM Semiconductor Research and Development Center. “Tools such as Coventor’s SEMulator3D Virtual Fabrication platform have allowed us to speed our end-to-end technology development in 22nm and beyond, enabling a faster time to market for our customers who depend on IBM innovation to create the latest servers, smart phones, GPS systems, routers and other devices.”

At the core of the new SEMulator3D 2013 platform is a physics-driven modeling paradigm for addressing physical process behavior that makes virtual fabrication more predictive and provides new opportunities for replacing actual silicon learning cycles with faster, less costly virtual cycles. In addition, virtual metrology innovations and the automation of virtual experiments enable process developers to perform virtual fabrication operations in hours or days instead of the months required for actual silicon learning cycles.

“Time and complexity challenges are the two constants in semiconductor design and manufacturing, and the growing trend toward 3D integrated technologies like FinFETS has introduced unprecedented levels of pain in both areas. SEMulator3D 2013 addresses the need for more efficient, automated approaches to process modeling, as well as the need for greater levels of collaboration by both ends of the development process. The net result is a dramatic reduction in the time and cost required to leverage the most advanced manufacturing techniques required to keep pace with Moore’s Law and fuel even more innovation across the electronics industry,” according to Dr. David Fried, chief technology officer at Coventor.

SEMulator3D 2013 features a new surface evolution engine and seamlessly combines the benefits of advanced physics-driven and high-speed behavioral (‘voxel’) predictive modeling in a single, easy-to-use platform. Voxel modeling is a fast, robust digital approach capable of scaling to the requirements of integrated processes and large silicon areas. Surface evolution is a more analog approach capable of modeling a wide range of physical process behavior.

Coventor’s unique deployment of surface evolution facilitates a major step forward in modeling reactive ion etching and selective epitaxial growth, a key technique for creating channel stress in advanced planar and FinFET technologies. With SEMulator3D 2013, users can model etching of multi-material stacks with multiple types of etch physics, such as redeposition (passivation), sputtering (physical etching), and etch bias (lateral or chemical etching). They can also model the growth rates of major silicon plane families to predict the faceted shapes and structural ramifications of selective epitaxial growth.

The SEMulator3D 2013 platform incorporates advanced technologies and tool enhancements that enable automatic process variation analysis with parallel modeling and virtual metrology to significantly increase user productivity. A new spreadsheet-driven Expeditor tool for batch processing enables massively parallel parameter studies. The addition of new virtual metrology steps into the virtual fabrication process provide for in-line, local measurement of critical dimensions, mimicking actual metrology operations. Tool upgrades include an enhanced Materials Editor for hierarchical grouping of materials, which greatly simplifies process deck development and maintenance.

SurplusGLOBAL, Inc. participated in Semicon West 2013. SurplusGLOBAL CEO, Bruce Kim forecasted the increase in demand in the Asia Secondary Equipment Market.

Bruce Kim, CEO  of SurplusGLOBAL, has participated in Semicon West for the past 7 years and stated, “We are more optimistic about the growth in the Asian Secondary Semiconductor Equipment Market in the years to come.”

Secondary semiconductor equipment addresses both environmental and cost concerns within the industry. The secondary equipment market size has experienced continuous growth over the past three years. In 2012 the market size was estimated to be around 3 billion US dollars, with 200mm wafer capturing 90% of this market. In 2013, demand of 300mm wafer equipment is expected to capture an increased share of the demand.

With the growth in demand, distribution and services are becoming more critical every year. The number of Fab facilities in the United States and Europe continues to experience decline, while in Asia there has been continued investment in the China, Taiwan and South Korean markets. The Asian market accounts for approximately 80% of the semiconductor equipment market. The Asian secondary equipment market has been experiencing continued growth and global, financially stable traders such as SurplusGLOBAL are well positioned to lead the supply of this equipment.

The market has been very slow for last two years in Asia since the second half of 2011.  Most of the Asian players enjoyed the market recovery in Year 2010 and the 1st half of 2011.  After then, utilization rates at Foundries plummeted to levels, LED fabs suffered from slow demands and price pressures. The sales revenues of Asian dealers and refubishers have declined up to 70 percent.  These days we can see several ongoing expansion plans mainly from Taiwan and China Foundries as well as a few new Fab plans in China.  LED Fabs are resuming the purchase of tools.  Analog and Power device makers are adding bottleneck tools.  The demands of Fab tools from packaging companies are increasing.   

Bruce Kim commented “The major market drives are Foundries who want to expand their capacity or build new Fabs mainly in Taiwan and China. There are increasing demands of secondary equipment in mature technology including not only 8 inch silicon wafer, but also LED, packaging and MEMS."

To date, this demand has been driven by both 200mm FAB front and backend tools. We project starting growth of 300mm FABs in Asia. Powerchip sold hundreds of 300MM tools in the 1st Half to many Asian Fabs.  GLOBALFoundries acquired a thousand of Fab tools from Promos and sold many of them to China new fab recently. Bruce Kim mentioned,   “300mm Fabs have difficulty in purchasing secondary equipment because of insufficient support from equipment suppliers, so SurplusGLOBAL expects it will take considerable time for the  300mm secondary equipment market to take off.”

SurplusGLOBAL locates, sells and stocks thousands of systems annually and has established an extensive global network of end users, refurbishers and brokers. SurplusGLOBAL specializes in semiconductor manufacturing equipment acquired from the leading chip manufacturers in the United States, Europe and Asia covering Fab, ATE and PCB/SMT capital equipment segments.

Battered by the nonstop onslaught of media tablets, the mobile PC market in 2013 delivered the worst second-quarter performance in 11 years, according to preliminary data provided by a PC Dynamics Market Brief from information and analytics provider IHS (NYSE: IHS).

Worldwide mobile PC shipments in the second quarter shrank a steep 6.9 percent compared to the first three months of the year, based on initial findings. This represented the first time the industry experienced a sequential decline since the second quarter of 2002. At that time, mobile PC shipments contracted 3.7 percent after the dot.com bust flattened global demand.

In the 10 years between those two low points, the mobile PC space had always strengthened in the second quarter as shipments recovered from a normally soft start to the year. Excluding 2002 and this year, growth for every second quarter during the intervening years had ranged from 0.5 percent to as much as 6.5 percent, as shown in the attached figure. Just last year, the industry enjoyed a 3.9 percent increase for the period.

The depressed results are not confined to the second quarter alone. When the overall first half is considered, 2013 has made history as having the poorest performance since 2003, posting a harsh 11.2 percent contraction compared to the same six-month period a year ago. How much the market has fallen can be seen by the magnitude of growth attained in the previous years. Only three years ago in 2010, mobile PC shipments surged by 41.7 percent in the first half.

“Representing devices such as traditional notebook PCs as well as the new thinner ultrathin/Ultrabook laptops, the mobile PC industry on the whole is struggling to find any momentum for growth as upheavals rock the market,” said Craig Stice, senior principal analyst for compute platforms at IHS. “In particular, more nimble devices like media tablets have taken over among consumers given their ease of use and unique form factor. Meanwhile, innovation in PCs has stagnated, and the recent influx of low-cost tablets has further eaten into an already decimated mobile PC space. With such dire numbers, many are wondering whether this signifies the start of more record declines for mobile PCs, or if the industry has hit rock-bottom.”

High hopes for low costs

An infusion of lower-cost PCs that deliver higher performance but consume less power than current laptops could save the market, IHS believes. Processors like Bay Trail from Intel Corp. and Temash from rival chipmaker Advanced Micro Devices Inc. can go beyond what traditional entry-level processors have been able to provide, and PC makers are contemplating a new class of performance PCs that would incorporate the new processors at affordable prices.

Hopes also remain alive within the industry on prospects for the much more expensive ultrathin and Ultrabook PC models, where growth could still be expected if their prices come down and if consumers can get used to the new Windows 8 operating system after a rocky launch.

The PC that refreshes

With everything considered, a PC refresh buying cycle is more than likely to occur, IHS believes.

‘Despite the broad appeal of media tablets, the devices won’t be able to fully replace PCs, and consumers will continue to need the computational power of personal computers,” Stice said. “If a new low-cost PC offering strong performance can become available on the market and meet consumer expectations, then PCs could be set for more growth—not like the glory days of the 2000s—but growth nonetheless.”

A year to forget

Despite this, 2013 is very likely a write-off at this point. Even with growth expected to occur in the second half, it’s too late given the depressed first-half results that any positive expansion could occur in both the mobile PC segment and the overall PC market.

A full downturn is projected for total PC shipments in 2013, which would make this the second consecutive year of decline, after the contraction of the market last year for the first time since 2001.

SEMI honored 14 industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries. The SEMI Standards awards were announced at a reception held during SEMICON West 2013.

The 2013 SEMI International Standards Excellence Award, inspired by Karel Urbanek, is the most prestigious award in the SEMI Standards Program. Yesterday, it was awarded to Dr. Larry Hartsough of UA Associates.  Hartsough has been actively involved in SEMI Standards for over 20 years, serving in a variety of leadership positions. With over 30 years’ experience in the industry in the areas of thin-film deposition, equipment design and plasma processing of materials, he was instrumental in the development of cluster tool and 300mm interface Standards for semiconductor equipment. Additionally, Hartsough’s expertise in patent litigation was invaluable in guiding the Physical Interfaces and Carriers Committee on intellectual property issues. Long-term, committed leaders like Hartsough provide continuity and excellence to the SEMI Standards Program. The Award recognizes the leadership of Karel Urbanek, a SEMI Board of Directors member who was a key figure in the successful globalization the Standards Program.

In addition, the recipients of four major North American SEMI Standards awards were announced:

The Merit Award recognizes Standards Program Member major contributions to the semiconductor, PV, and related industries through the SEMI Standards Program.  Award winners typically take on a very complex problem at the task force level, gain industry support, and drive the project to completion. This year, seven Program Members were presented with the Merit Award for their contributions to the semiconductor, PV, 3D-IC, and HB-LED industries: 

  • Contribution to the PV Industry: Existing SEMI test methods did not provide the ability to measure a broad range of trace elemental impurities in silicon feedstock for solar cells. Through the International PV Analytical Test Methods Task Force, Hugh Gotts (Air Liquide Electronics U.S.) led the development of SEMI PV49-0613, Test Method for the Measurement of Elemental Impurity Concentrations in Silicon Feedstock for Silicon Solar Cells by Bulk Digestion, Inductively Coupled-Plasma Mass Spectrometry.
  • Contribution to the HB-LED Industry: The 150mm sapphire wafers used for manufacturing HB-LED devices are thicker than standard silicon wafers used in the semiconductor industry— making it difficult to use the same cassettes and standards. SEMI HB-LED Equipment Automation Task Force leaders, Jeff Felipe (Entegris) and Daniel Babbs (Brooks Automation) led the development of SEMI HB2-0613, Specification for 150mm Open Plastic and Metal Wafer Cassettes Intended for Use for Manufacturing HB-LED Devices. This cassette standard also enables standardization of load ports and transport systems, resulting in both direct and indirect cost savings throughout the whole supply chain.
  • Contributions to the 3DS-IC Industry: Establishing common understanding and precise communication between stakeholders is important in any manufacturing supply chain, including 3DS-IC. North America 3DS-IC Inspection & Metrology Task Force leaders, David Read (NIST) and Victor Vartanian (SEMATECH), led the successful development of the first 3DS-IC standard published by SEMI, SEMI 3D1-0912: Terminology for Through Silicon Via Geometrical Metrology. It provides consistent terminology for metrology issues important to through silicon vias (TSV), including: pitch, top CD, top diameter, top area, and more. Read and Vartanian were also responsible for the successful development of two other 3DS-IC SEMI Standards — SEMI 3D4 (Bonded Wafer Stack Metrology) and SEMI 3D5 (TSV Metrology).
  • Ilona Schmidt (Corning) was the key developer of SEMI 3D2-0113, Specification for Glass Carrier Wafers for 3DS-IC Applications.  SEMI 3D2 describes dimensional, thermal, and wafer preparation characteristics for glass starting material that will be used as carrier wafers in a temporary bonded state.
  • Contribution to the Semiconductor Industry: Manufacturing equipment is complex, which makes it susceptible to operating errors due to electromagnetic interference (EMI).  SEMI E33 provides recommendations to help assure that manufacturing equipment will operate reliably without failures caused by electromagnetic interference (EMI).  This desired characteristic is generally known as electromagnetic compatibility (EMC). Last year SEMI E33 went through an extensive revision led by technical expert Vladimir Kraz (BestESD Technical Services).

The Leadership Award recognizes Program Members’ outstanding leadership in guiding the SEMI Standards Program.  Since the formation of the HB-LED Technical Committee in late 2010, Julie Chao (Silian Sapphire) and David Joyce (GT Advanced Technologies) have led the Wafer Task Force in defining the physical geometry of wafers used in HB-LED manufacturing.  Their efforts resulted in SEMI HB1-0113, Specifications for Sapphire Wafers Intended for Use for Manufacturing High Brightness-Light Emitting Diode Devices— SEMI’s first HB-LED standard. As task force leaders, Chao and Joyce fostered industry collaboration, travelling to global SEMI events and attracting new key stakeholders, ensuring global input and consensus.

The Honor Award, given to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards, recognized Richard Allen (NIST/SEMATECH). From his involvement in the Microlithography/Micropatterning Committee to his current leadership in the 3DS-IC and MEMS/NEMS Committees, Allen has been a long-standing and active participant in the SEMI Standards Program.  He joined the 3DS-IC committee shortly after it was formed in late 2010 as serves as committee chairman. He also leads the Bonded Wafer Stacks Task Force, Inspection & Metrology Task Force and Thin Wafer Handling Task Force). His contributions have been instrumental in the publication of four SEMI 3DS-IC Standards to date.

The Corporate Device Member Award recognizes the participation of the user community. This year, three Program Members were presented with the Corporate Device Member Award for their contributions to EHS and 3DS-IC. This year’s Corporate Device Member Awards were presented to Paul Schwab (Texas Instruments), Urmi Ray (Qualcomm), and Raghunandan Chaware (Xilinx).  The award is presented to individuals from device manufacturers.

As co-leader of the S8 Ergonomics Task Force, Paul Schwab (Texas Instruments) provided end-user perspective in the revision of SEMI S8, Safety Guideline for Ergonomics Engineering of Semiconductor Manufacturing Equipment. Schwab significantly improved the Supplier Ergonomics Success Criteria (SESC) checklist criteria, making the Document easier to use by the industry.

Another example of the importance of end-user input was in the development of SEMI’s third 3DS-IC Standard – SEMI 3D3-0613, Guide for Multiwafer Transport and Storage Containers for 300mm, Thin Silicon Wafers on Tape Frames. North America 3DS-IC Thin Wafer Handling Task Force Leaders Urmi Ray (Qualcomm) and Raghunandan Chaware (Xilinx) played integral roles in the development of SEMI 3D3-0613, providing vital end-user perspective for shipping thin wafers on tape frames so that they arrive undamaged at their final destination.

The SEMI Standards Program, established in 1973, covers all aspects of microelectronics process equipment and materials, from wafer manufacturing to test, assembly and packaging, in addition to the manufacture of photovoltaics, flat panel displays and micro-electromechanical systems (MEMS). Over 3,700 volunteers worldwide participate in the program, which is made up of 23 global technical committees. Visit www.semi.org/standards  for more information about SEMI Standards.

 

 

SEMI forecasts semiconductor equipment sales will reach $43.98 billion in 2014, a 21 percent increase over estimated 2013 equipment spending, according to the mid-year edition of the SEMI Capital Equipment Forecast, released here today at the annual SEMICON West exposition.

Following two years of conservative capital investments by major chip manufacturers, semiconductor equipment spending is forecast to grow to $43.98 billion in 2014, up from $36.29 billion projected this year.  Key drivers for equipment spending are significant NAND Flash fab investments by Samsung in China and Toshiba/Sandisk in Japan, and investments by Intel, including its fabs in Ireland.  Most major regions of the world will see significant equipment spending increases.  Front-end wafer processing equipment will grow 24 percent in 2014 to $35.59 billion, up from $28.70 billion in 2013.  Test equipment and assembly and packaging equipment will also experience growth next year, rising to $3.18 billion (+6 percent) and $2.9 billion (+14 percent), respectively. The forecast indicates that next year will be the second largest spending year ever, surpassed only by $47.7 billion spent in 2000.

"Continued strong demand by consumers for smart phones and tablet computers is driving chip manufacturers to expand capacity for memory, logic and wireless devices,” said Denny McGuirk, president and CEO of SEMI. “To meet the pent-up demand for capacity, particularly for leading-edge devices, we expect capital spending to increase throughout the remainder of this year and continue through 2014 — to post one of the highest rates of global investment for semiconductor manufacturing ever.”

Growth is forecast in China (82 percent), Europe (79 percent), South Korea (31 percent), Japan (21 percent), North America (9 percent), and Taiwan (2 percent). Taiwan will continue to be the world’s largest spender with $10.62 billion estimated for 2014, followed by North America at $8.75 billion and Korea with $8.74 billion. The following results are given in terms of market size in billions of U.S. dollars and percentage growth over the prior year:

 

 

 

 

 

By Equipment Type

 

 

yr-over-yr

 

yr-over-yr

 

2012

2013F

%Chg

2014F

%Chg

Wafer Processing

28.15

28.70

1.9

35.59

24.0

Test

3.55

3.00

-15.5

3.18

6.0

Assembly & Packaging

3.08

2.55

-17.2

2.90

13.7

Other

2.15

2.04

-5.1

2.32

13.7

Total Equipment

36.93

36.29

-1.7

43.98

21.2

 

 

 

 

 

 

By Region

 

 

yr-over-yr

 

yr-over-yr

 

2012

2013F

%Chg

2014F

%Chg

Korea

8.67

6.69

-22.8

8.74

30.6

Taiwan

9.53

10.43

9.4

10.62

1.8

North America

8.15

8.04

-1.3

8.75

8.8

Japan

3.42

3.80

11.1

4.61

21.3

Europe

2.55

2.35

-7.8

4.21

79.1

China

2.50

2.81

12.4

5.11

81.9

Rest of World

2.10

2.17

3.3

1.94

-10.5

Total Equipment

36.93

36.29

-1.7

43.98

21.2

* Totals may not add due to rounding

 

 

 

 

Source: Equipment Market Data Subscription (EMDS), SEMI

 

Dow Corning announced Monday that it is among the newest member organizations to join imec, a leading research center for the advancement of nano-electronics. The announcement signals expanded opportunities for both organizations to combine their expertise toward the development and broader adoption of 3D integrated circuit (IC) packaging technologies, wherein IC chips are stacked in vertical 3D architectures.

“This move is a natural and strategic step for Dow Corning and imec, as we both believe collaborative innovation is as critical to industry leadership as native expertise,” said Andrew Ho, global industry director, Advanced Semiconductor Materials at Dow Corning. “Our access to imec’s world-class resources and expertise will not only help us further refine our unique temporary bonding solution, it will allow imec to leverage that solution to advance integration of the 3D IC packaging process that they’ve been developing for years.”

Yet, before 3D IC fabrication can see broader adoption, it will require innovative advances in materials and processing technologies.

One of the key challenges imec is tackling is the bonding of the device wafer to a carrier wafer, prior to wafer thinning, and the safe debonding of the thin wafer after completion of backside processing. This was Dow Corning’s goal when designing its Temporary Bonding Solution, aims at simple processing using a bi-layer concept comprising an adhesive and release layer. The technology also enables room-temperature bonding and debonding processes based on standard manufacturing methods.

Together with imec, Dow Corning will explore its temporary bonding CMOS-compatible solution for 3D Through-Silicon-Via (TSV) semiconductor packaging. The collaboration will aim to further expand the technology’s ability to achieve simple, cost-effective bonding-debonding techniques compatible with standard manufacturing processes.

“Imec’s precompetitive programs are an essential platform for industry leaders to share the risk and cost of advanced research. As one of the semiconductor industry’s most proven pioneers in advanced silicone-based solutions, Dow Corning brings valuable materials and processing expertise to imec’s global network of innovators – as well as a key enabling technology for TSV fabrication,” said Eric Beyne, program director 3D System Integration at imec. “We look forward to collaborating closely with our newest member organization as we drive the next stage of 3D integration, and help ensure compatiblity of the proposed thin wafer carrier solution with advanced, sub-10-nanometer CMOS device technologies.”

Imec exhibits at SEMICON West, July 9-11, 2013 at booth 1741, South hall.